You are on page 1of 899

www.gradeup.

co

1
www.gradeup.co

SYLLABUS

V erbal Aptitude : Basic English grammar: tenses, articles, adjectives, prepositions,


conjunctions, verb-noun agreement, and other parts of speech. Basic vocabulary
words, idioms, and phrases in context Reading and comprehension Narrative
sequencing Quantitative Aptitude: Data interpretation: data graphs (bar graphs, pie
charts, and other graphs representing data), 2- and 3-dimensional plots, maps, and tables
Numerical computation and estimation: ratios, percentages, powers, exponents and
logarithms, permutations and combinations, and series Mensuration and geometry
Elementary statistics and probability. Analytical Aptitude: Logic: deduction and induction
Analogy Numerical relations and reasoning. Spatial Aptitude: Transformation of shapes:
translation, rotation, scaling, mirroring, assembling, and grouping Paper folding, cutting,
and patterns in 2 and 3 dimensions

CONTENT
S.No. Chapter Page No.

1. Verbal Ability ............................................................................................................................... 3-23

Answers........................................................................................................................................................ 17
Solution ....................................................................................................................................................... 19-23

2. Reasoning Ability ........................................................................................................................ 25-34

Answers........................................................................................................................................................ 31
Solution ........................................................................................................................................................ 31-34

3. Numerical Ability ........................................................................................................................ 36-67

Answers........................................................................................................................................................ 54
Solution ........................................................................................................................................................ 54-67

2
1
www.gradeup.co

Verbal Ability

Analysis of Previous GATE Papers

2017 Set 1

2017 Set 2

2016 Set 1

2016 Set 2

2016 Set 3

2015 Set 1

2015 Set 2

2015 Set 3
2020

2019

2018
Year →
Topic
Topic ↓

MCQ Type 4 4 2 2 2 3 2 2 3 3 3
1 Mark
Numerical Type
Verbal Ability MCQ Type 1 1 2 1 1 1 1 1 3 3 2
2 Marks
Numerical Type
Total 6 6 6 4 4 5 4 4 9 9 7

3
www.gradeup.co

1. Which of the following options is the to such warfare; and regretfully. there
closest in meaning to the word below? exist people in military establishments who
Circuitous: think that chemical agents are useful fools
A. Cyclic B. Indirect for their cause.
C. Confusing D. Crooked Which of the following statements best
[2010:1Mark] sums up the meaning of the above
2. The question below consists of a pair of passage?
related words followed by four pairs of A. Modern warfare has resulted in civil
words. Select the pair that best expresses strife.
the relation in the original pair. B. Chemical agents are useful in modern
Unemployed: Worker warfare.
A. Fallow: Land C. Use of chemical agents in warfare would
B. Unaware: Sleeper be undesirable.
C. Wit: Jester D. People in military establishments like to
D. Renovated: House use chemical agents in war.
[2010: 1 Mark] [2010: 2 Marks]
3. Choose the most appropriate word from
6. The question below consists of a pair of
the options given below to complete the
related words followed by four pairs of
following sentence:
words. Select the pair that best expresses
If we manage to ______ our natural
the relation in the original pair:
resources, we will leave a better planet for
Gladiator: Arena
our children.
A. dancer: stage
A. uphold B. restrain
B. commuter: train
C. cherish D. conserve
C. teacher: classroom
[2010: 1 Mark]
D. lawyer: courtroom
4. Choose the most appropriate word from
[2011: 1 Mark]
the options given below to complete the
7. Choose the most appropriate word from
following sentence:
the options given below to complete the
His rather casual remarks on politics _____
following sentence:
his lack of seriousness about the subject.
A. masked B. belied It was her view that the country's

C. betrayed D. suppressed problems had been _____ by foreign

[2010: 1 Mark] technocrats. so that to invite them to come

5. Modern warfare has changed from large back would be counterproductive.

scale clashes of armies to suppression of A. identified B. ascertained

civilian populations. Chemical agents that C. exacerbated D. analyzed

do their work silently appear to be suited [2011 : 1 Mark]

4
www.gradeup.co

8. Choose the word from the options given A. Eligibility B. Freedom


below that is most nearly opposite in C. Coercion D. Meticulousness
meaning to the given word: [2012 : 1 Mark]
Frequency 12. One of the parts (A, B C, D) in the
A. periodicity B. rarity sentence given below contains an ERROR.
C. gradualness D. persistency Which one of the following is INCORRECT?
[2011 : 1 Mark] I requested that he should be given the
9. Choose the most appropriate word from driving test today instead of tomorrow.
the options given below to complete the A. requested that
following sentence: B. should be given
Under ethical guidelines recently adopted C. the driving test
by the Indian Medical Association, human D. instead of tomorrow
genes are to be manipulated only to [2012 : 1 Mark]
correct diseases for which 13. Choose the most appropriate alternative
___________treatments are from the options given below to complete
unsatisfactory. the following sentence:
A. similar B. most If the tired soldier wanted to lie down, he
C. uncommon D. available ________the mattress out on the balcony.
[2011 : 1 Mark] A. should take B. shall take
10. The horse has played a little known but C. should have taken D. will have taken
very important role in the field of medicine. [2012 : 1 Mark]
Horses were injected with toxins of 14. Choose the most appropriate word from
diseases until their blood built up the options given below to complete the
immunities. Then a serum was made from following sentence:
their blood. Serums to fight with diphtheria Given the seriousness of the situation that
and tetanus were developed this way. he had to face, his _____ was impressive.
It can be inferred from the passage. that A. beggary B. nomenclature
horses were C. jealousy D. nonchalance
A. given immunity to diseases [2012: 1 Mark]
B. generally quite immune to diseases. 15. One of the legacies of the Roman legions
C. given medicines to fight toxins was discipline. In the legions, military law
D. given diphtheria and tetanus serums. prevailed, and discipline was brutal.
[2011 : 2 Marks] Discipline on the battlefield kept units
11. Which one of the following options is the obedient, intact, and fighting, even when
closest in meaning to the word given the odds and conditions were against
below? them.
Latitude

5
www.gradeup.co

Which one of the following statements best 19. They were requested not to quarrel with
sums up the meaning of the above others.
passage? Which one of the following options is the

A. Thorough regimentation was the main closest in meaning to the word quarrel?

reason for the efficiency of the Roman A. make out B. call out
C. dig out D. fall out
legions even in adverse circumstances.
[2013 : 1 Mark]
B. The legions were treated inhumanly as if
20. Statement: There were different streams
the men were animals.
of freedom movements in colonial India
C. Discipline was the armies inheritance
carried outby the moderates, liberals,
from their seniors.
radicals, socialists, and so on.
D. The harsh discipline to which the legions Which one of the following is the best
were subjected to led to the odds and inference from the above statement?
conditions being against them. A. The emergence of nationalism in
[2012 : 2 Marks] colonial India led to our Independence.
16. Choose the grammatically CORRECT B. Nationalism in India emerged in the
sentence: context of colonialism.
A. Two and two add four. C. Nationalism in India is homogeneous.

B. Two and two become four. D. Nationalism in India is heterogeneous.


[2013 : 2 Marks]
C. Two and two are four.
21. Choose the most appropriate phrase from
D. Two and two make four.
the options given below to complete the
[2013 : 1 Mark]
following sentence. The aircraft take off as
17. Statement: You can always give me a ring
soon as its flight plan was filed.
whenever you need.
A. is allowed to
Which one of the following is the best B. will be allowed to
inference from the above statement? C. was allowed to
A. Because I have a nice caller tune. D. has been allowed to
B. Because I have a better telephone [2014: 1 Mark, Set-1]
facility. 22. Read the statements:
C. Because a friend in need is a friend All women are entrepreneurs.
indeed. Some women are doctors.

D. Because you need not pay towards the Which of the following conclusions can be

telephone bills when you give me a ring. logically inferred from the above
statements?
[2013 : 1 Mark]
A. All women are doctors
18. Complete the sentence:
B. All doctors are entrepreneurs.
Dare ___ mistakes.
C. All entrepreneurs are women
A. commit B. to commit
D. Some entrepreneurs are doctors.
C. committed D. committing
[2014: 1 Mark, Set-I]
[2013 : 1 Mark]

6
www.gradeup.co

7
www.gradeup.co

23. Choose the most appropriate word from A. depressed B. depreciated


the options given below to complete the C. appreciated D. stabilized
following sentence. [2014: 1 Mark, Set-3]
Many ancient cultures attributed disease to 28. ‘Advice’ is _______.
supernatural causes. However, modern A. a verb
science has largely helped _______such B. a noun
notions. C. an adjective
A. impel B. dispel D. both a verb and a noun
C. propel D. repel [2014: 1 Mark, Set-3]
[2014: 1 Mark, Set-I] 29. Which of the following options is the
24. Choose the most appropriate word from closest in meaning to the word underlined
the options given below to complete the in the sentence below?
following sentence. In a democracy, everybody has the
Communication and interpersonal skills are freedom to disagree with the government.
_______ important in their own ways. A. dissent B. descent
A. each B. both C. decent D. decadent
C. all D. either [2014: 1 Mark, Set-4]
[2014: 1 Mark, Set-2] 30. After the discussion, Tom said to me,
25. Which of the options given below best ‘Please revert!’. He expects me to _____.
completes the following sentence? A. retract B. get back to him
She will feel much better if she _______. C. move in reverse D. retreat
A. will get some rest [2014: 1 Mark, Set-4]
B. gets some rest 31. While receiving the award, the scientist
C. will be getting some rest said, “I feel vindicated”. Which of the
D. is getting some rest following is closest in meaning to the word
[2014: 1 Mark, Set-2] vindicated'?
26. Choose the most appropriate pair of words A. punished B. substantiated
from the options given below to complete C. appreciated D. chastened
the following sentence. [2014: 1 Mark, Set-4]
She could not ______ the thought of 32. Choose the appropriate word/phrase, out
______ the election to her bitter rival. of the four options given below, to
A. bear, loosing B. bare, loosing complete the following sentence:
C. bear, losing D. bare, losing Frogs_____.
[2014: 1 Mark, Set-2]
27. The value of one U.S. dollar is 65 Indian A. croak B. roar
Rupees today, compared to 60 last year. C. hiss D. patter
The Indian Rupee has _______ [2015 : 1 Mark, Set-1]

8
www.gradeup.co

33. Choose the word most similar meaning to statements below is logically valid and can
the given word: be inferred from the above sentences?
Educe A. Humpty Dumpty always falls white
A. Exert B. Educate having lunch

C. Extract D. Extend B. Humpty Dumpty does not fall

[2015 : 1 Mark, Set-1] sometimes while having lunch

34. Choose the most appropriate word from C. Humpty Dumpty never falls during
dinner
the options given below to complete the
D. When Humpty Dumpty does not sit on
following sentence.
the wall, the wall does not break
The principal presented the chief guest
[2015 : 2 Marks, Set-1]
with a _______ , as token of appreciation.
A. memento B. memento
37. Read the following paragraph and choose
C. momentum D. moment
the correct statement.
[2015 : 1 Mark, Set-1]
Climate change has reduced human
35. The following question presents a
security and threatened human well being.
sentence, part of which is underlined. An ignored reality of human progress is
Beneath the sentence you find four ways of that human security largely depends upon
phrasing the underlined part. Following the environmental security. But on the
requirements of the standard written contrary, human progress seems
English, select the answer that produces contradictory to environmental security. To
the most effective sentence. keep up both at the required level is a
Tuberculosis, together with its effects, challenge to be addressed by one and all.

ranks one of the leading causes of death in One of the ways to curb the climate

India. change may be suitable scientific

A. ranks as one of the leading causes of innovations, while the other may be the
Gandhian perspective on small scale
death
progress with focus on sustainability.
B. rank as one of the leading causes of
A. Human progress and security are
death
positively associated with environmental
C. has the rank of one of the leading
security.
causes of death
B. Human progress is contradictory to
D. are one of the leading causes of death.
environmental security.
[2015: 2 Marks, Set-1]
C. Human security is contradictory to
36. Humpty Dumpty sits on a wall every day
environmental security.
while having lunch. The wall sometimes
D. Human progress depends upon
breaks. A person sitting on the wall falls if
environmental security.
the wall breaks. Which one of the
[2015 : 2 Marks, Set-1]

9
www.gradeup.co

38. Choose the word most similar in meaning 42. In the following sentence certain parts are
to the given word: underlined and marked P, Q and P. One of
Awkward the parts may contain certain error or may
A. Inept B. Graceful not be acceptable in standard written
C. Suitable D. Dreadful communication.
[2015 : 1 Mark, Set-2] Select the part contain ng an error. Choose
39. Choose the appropriate word/phase, out of D as your answer if there is no error.
the four options given below, to complete The student concreted all the errors that
the following sentence: the instructor marked on the answer book,
Dhoni, as well as the other team members
A. P B. Q
of the Indian team present on the
C. P D. No Error
occasion.
[2015 : 2 Marks, Set-2]
A. were B. was
43. Lamenting the gradual sidelining of the
C. has D. have
arts in school curricula, a group of
[2015 : 1 Mark, Set-2]
prominent artists wrote to the Chief
40. What is the adverb for the given word
Minister last year, asking him to allocate
below?
more funds to support arts education in
Misogynous
schools. However, no such increase has
A. Misogynousness
been announced in this year's Budget, The
B. Misogynity
artists expressed their deep anguish at
C. Misogynously
their request not being approved, but
D. Misogynous
[2015 : 1 Mark, Set-2] many of them remain optimistic about

41. Given below are two statements followed finding in the future,

by two conclusions. Assuming these Which of the statement(s) below is/are

statements to be true, decide which one logically valid and can be inferred from the

logically follows. above statements?

Statements: (i) The artists expected funding for the arts


I. All film star are playback singers. to increase this year.
II. All film directors are film stars. (ii) The Chief Minister was receptive to the
Conclusions: idea of increasing funding for the arts,
I. All film directors are playback singers. (iii) The Chief Minister is a prominent
II. Some film stars are film directors. artists,
A. Only conclusion I follows. (iv) Schools are giving less importance to
B. Only conclusion I nor II follows. arts education nowadays.
C. Neither conclusion I nor II follows. A. (iii) and (iv) B. (i) and (iv)
D. Both conclusions I and II follow. C. (i), (ii) and (iv) D. (i) and (iii)
[2015 : 2 Marks, Set-2] [2015 : 2 Marks, Set-2]

10
www.gradeup.co

44. Choose the correct verb to fill in the blank passage is split into 4 parts and numbered
below: as 2,3, 4 and 5. These4 parts are not
Let us ______ arranged in proper order. Read the
A. introvert B. alternate sentences and arrange them in a logical
C. atheist D. altruist sequence to make a passage and choose
[2015 : 1 Mark, Set-3] the correct sequence from the given
45. Choose the most appropriate word from options,
the options given below to complete the
1. On Diwali, the family rises early in the
following sentence.
morning.
If the athlete had wanted to come first in
2. The whole family, including :he young
the race, he several hours every day.
and the old enjoy doing this.
A. should practice
3. Children let off fireworks later in the
B. should have practiced
night with their friends.
C. practiced
4. At sunset, the lamps are lit and the
D. should be practicing
family performs various rituals.
[2015 : 1 Mark, Set-3]
5. Father, mother and children visit
46. Choose the most suitable one-word
relatives and exchange gifts and sweets,
substitute for the following expression:
6. Houses looks so pretty with lighted
Connection of a road or way
lamps all around.
A. Pertinacious B. Viaticum
C. Clandestine D. Ravenous A. 2, 5, 3, 4 B. 5,2,4,3

[2015 : 1 Mark, Set-3] C. 3, 5, 4, 2 D. 4, 5, 2, 3

47. Ram and Shyam shared a secret and [2015 : 2 Marks, Set-3]

promised to each other that it would 49. Which of the following is CORRECT with

remain between them, Ram express respect to grammar and usage? Mount

himself in one of the following ways as Everest is ______


given in the choices below, Identify the A. the highest peak in the world
correct way as per standard English. B. highest peak in the world
A. It would remain between you and me. C. one of highest peak in the world
B. It would remain between I and you. D. one of the highest peak in the world
C. It would remain between you and I. [2016: 1 Mark, Set-1]
D. It would remain with me. 50. The policeman asked the victim of a theft,
[2015 : 2 Marks, Set-3] “What did you _______?
48. In the following question, the first and the A. loose B. lose
last sentence of the passage are in order C. loss D. louse
and numbered 1 and 6, The rest of the [2016: 1 Mark, Set-1]

11
www.gradeup.co

12
www.gradeup.co

51. Despite the new medicine's ___ in treating What does the underlined phrase mean in
diabetes, it is not widely, this context?
A. effectiveness - prescribed A. history will rest in peace
B. availability - used B. rest is recorded in history books
C. prescription - available C. rest is well known
D. acceptance - proscl1oed D. rest is archaic
[2016: 1 Mark, Set-1] [2016 : 1 Mark, Set-2]
52. In a world filled with uncertainty, he was 55. Social science disciplines were in existence
glad to have many good friends. He has in anamorphous form until the colonial
always assisted them in times of need and period when they were institutionalized. In
was confident that they would reciprocate. varying degrees, they were in tended to
However, the events of the last week further the colonial interest. In the time of
proved him wrong. globalization and the economic rise of
Which of the following inference(s) is/are postcolonial countries like India,
logically valid and can be inferred from the conventional ways of knowledge
above passage? production have become obsolete.
1. His friends were always asking him to Which of the following can be logically
help them. inferred from the above statements?
II. He felt that when in need of help, his I. Social science disciplines have become
friends would let him down.
obsolete.
III. He was sure that his friends would help
II. Social science disciplines had a pre-
him when in need.
colonial origin.
IV. His friends did not help him last week.
III. Social science disciplines always
A. I and II B. III and IV
promote colonialism.
C. III only D. IV only
IV. Social science must maintain
[2016: 2 Marks, Set-1]
disciplinary boundaries.
53. The students the teacher on teachers’ day
A. II only B. I and III only
for twenty years of dedicated teaching.
C. II and IV only D. III and IV only
A. facilitated B. felicitated
[2016 : 2 Marks, Set-2]
C. fantasized D. facilitated
56. An apple costs Rs. 10. An onion costs Rs.8.
[2016 : 1 Mark, Set-2]
Select the most suitable sentence with
54. After India's cricket world cup victory in
respect to grammar and usage.
1985, Shrotria who was playing both
A. The price of an apple is greater than an
tennis and cricket till then, decided to
onion.
concentrate only on cricket.
B. The price of an apple is more than
And the rest is history.
onion.

13
www.gradeup.co

C. The price of an apple is greater than of 60. She has a sharp tongue, and it can
an onion. occasionally turn _____.
D. Apples are more costlier than onions. A. hurtful B. left

[2016 : 1 Mark, Set-3] C. methodical D. vital


[2017: 1 Mark, Set-1]
57. The Buddha said, “Holding on to anger is
61. “If you are looking for a history of India. or
Ikegrasping a hot coal with the intent of
throwing it at someone else; you are the for an account of the rise and fall of the

one who gets burnt.” British Raj, or for the reason of the

Select the word below which is closest in cleaving of the subcontinent into two
meaning to the word underlined above. mutually antagonistic part sand the effects
A. burning B. igniting this mutilation will have in the respective
C. clutching D. flinging sections, and ultimately on Asia, you will
[2016 : 1 Mark, Set-3] not find it in these pages; for though I
58. The overwhelming number of people have spent a lifetime in the country. I lived
infected with rabies in India has been- too near the seat of events, and was too
flagged by the World Health Organization intimately associated with the actors. to
as a source of concern. It is estimated that
get the perspective needed for the
inoculating 70% of pets and stray dogs
impartial recording of these matters”.
against rabies can lead to a significant
Here, the word ‘antagonistic’ is closest in
reduction in the number of people infected
meaning to
with rabies.
A. impartial B. argumentative
Which of the following can be logically
C. separated D. hostile
inferred from the above sentences?
[2017: 2 Marks, Set-I]
A. The number of people in India infected
62. It is______ to read this year's textbook
with rabies is high.
B. The number of people in other parts of _____the last year's.

the world who are infected with rabies is A. easier, than B. most easy, than

low C. easier, from D. easiest, from


C. Rabies can be eradicated III India by [2017 : 1 Mark, Set-2]
vaccinating 70% of stray dogs 63. The ninth and the tenth of this month are
D. Stray dogs are the main source of Monday and Tuesday _______ .
rabies worldwide. A. figuratively B. retrospectively
[2016 : 2 Marks, Set-3] C. respectively D. rightfully
59. I ______ made arrangements had I ____ [2017 : 1 Mark, Set-2]
informed earlier. 64. “If you are looking for a history of India, or
A. could have, been B. would have, being
for an account of the rise and fall of the
C. had, have D. had been, been
British Rajor for the reason of the cleaving
[2017: 1 Mark, Set-I]
of the subcontinent into two mutually

14
www.gradeup.co

antagonistic parts and the effects this 67. A coastal region with unparalleled beauty is
mutilation will have in the respective home to many species of animals. It is
sections, and ultimately on Asia, you will dotted with coral reefs and un spoilt white
not find it in these pages: for though I sandy beaches. It has remained
have spent a lifetime in the country. inaccessible to tourists due to poor
I lived too near the seat of events, and connectivity and lack of accommodation. A
was too intimately associated with the company has spotted the opportunity and
actors, to get the perspective needed for is planning to develop a luxury resort with
the impartial recording of these matters.” helicopter service to the nearest major city
Which of the following statements best airport. Environmentalists are upset that
reflects the author's opinion? this would lead to the region becoming
A. An intimate association does not allow crowded and polluted like any other major
for the necessary perspective. beach resorts.
B. Matters are recorded with an impartial Which one of the following statements can
perspective. be logically inferred from the information
C. An intimate association offers an given in the above paragraph?
impartial perspective. A. The culture and tradition of the local
D. Actors are typically associated with the people will be influenced by the tourists.
impartial recording of matters. B. The region will become crowded and
[2017 : 2 Marks, Set-2] polluted due to tourism.
65. “By giving him the last of the cake, you will C. The coral reefs are on the decline and
ensure lasting in our house today.” could soon vanish.
The words that best fill the blanks in the D. Helicopter connectivity would lead to an
above sentence are increase in tourists coming to the region.
A. peas, piece B. piece, peace [2018 : 2 Marks]
C. peace, piece D. peace, peas 68. The Cricket Board has long recognized
[2018 : 1 Mark] John's potential as a leader of the team.
66. “Even though there is a vast scope for its However, his on-field Temper has always
_______tourism has remained a/an area. been a matter of concern for them since

”The words that best fill the blanks in the his junior days. While this aggression has

above sentence are filled stadia with die-hard fans, it has taken

A. improvement, neglected a toll on his own batting. Until recently, it

B. rejection, approved appeared that he found it difficult to

C. fame, glum convert his aggression into big scores.


Over the past three seasons though, that
D. interest, disinterested
picture of John has been replaced by a
[2018 : 1 Mark]
cerebral, calculative and successful

15
www.gradeup.co

batsman-captain. After many years, it 72. The strategies that the company to sell its
appears that the team has finally found a products house-to-house marketing.
complete captain. Which of the following A. uses, include B. use, includes
statements can be logically inferred from C. uses, including D. used, includes
the above paragraph? [2019 : 1 Mark]
(i) Even as a junior cricketer, John was 73. “Indian history was written by British
considered a good captain. historians-extremely well documented and
(ii) Finding a complete captain is a researched, but not always impartial.
challenge. History had to serve its purpose:
(iii) Fans and the Cricket Board have Everything was made subservient to the
differing views on what they want in a glory of the Union Jack. Latter-day Indian
captain. scholar presented a contrary picture.”
(iv) Over the past three seasons John has From the text above, we can infer that:
accumulated big scores. Indian history written by British historians
A. (i), (ii) and (iii) only _______ .
B. (iii) and (iv) only A. was well documented and not
C. (ii) and (iv) only researched but was always biased
D. (i), (ii), (iii) and (v) B. was not well documented and
[2018 : 2 Marks] researched and was sometimes biased
69. Five different books (P, Q, R, S, T) are to C. was well documented and researched
be arranged on a shelf. The books Rand S but was sometimes biased
are to be arranged first and second, D. was not well documented and
respectively from the right side of the researched and was always biased
shelf. The number of different order in [2019: 2 Marks]
which P, Q and T may be arranged is 74. The untimely loss of life is a cause of
_______ serious global concern as thousands of
A. 2 B. 120 people get killed ………… accidents every
C. 6 D. 12 year while many other die ………. diseases
[2019 : 1 Mark] like cardiovascular
70. The boat arrived dawn. 1. from, from 2. from, of
A. on B. at 3. in, of 4. during, from
C. under D. in [2020: 1Marks]
[2019 : 1 Mark] 75. He was not only accused of theft ……. of
71. When he did not come home, she himlying conspiracy.
dead on the roadside somewhere 1. but even 2. rather than
A. concluded B. pictured 3. rather 4. but also
C. notice D. looked [2020: 1Marks]
[2019 : 1 Mark]

16
www.gradeup.co

76. Select the word that fits the analogy: wide financial crisis, which started with the
Explicit: Implicit:: Express: ………….. sub-prime lending crisis in USA in 2007.
1. Impress 2. Compress The subprime lending crisis led to the
3. Suppress 4. Repress banking crisis in 2008 with the collapse of
[2020: 1 Marks] Lehman Brothers in 2008. The sub-prime
77. The Canadian constitution requires that lending refers to the provision of loans to
equal importance be given to English and those borrowers who may have difficulties
French. Last year. Air Canada lost a in repaying loans, and it arises because of
lawsuit, and had to pay a six-figure fine to excess liquidity following the East Asian
a French-speaking couple after they filed crisis.
complaints about formal in-flight Which one of the following sequences
announcements in English lasting 15 shows the correct precedence as per the
seconds, as opposed to informal 5 second given passage?
messages in French, Options
The French-speaking couple were upset at 1. Subprime lending crisis → global
1. the English announcements being financial crisis → banking crisis → East
clearer than the French ones. Asian crisis.
2. the in-flight announcements being made 2. East Asian crisis → subprime lending
in English. crisis → banking crisis → global financial
3. the English announcements being longer crisis.
than the French ones. 3. Banking crisis → subprime lending crisis
4. equal importance being given to English → global financial crisis → East Asian crisis.
and French. 4. Global financial crisis → East Asian crisis
[2020: 1 Marks] → banking crisis → subprime lending crisis.
78. The global financial crisis in 2008 is [2020: 2 Marks]
considered to be the most serious world-

ANSWER

1. B 2. D 3. A 4. C 5. C 6. D 7. C 8. B 9. D 10. B

11. B 12. B 13. A 14. D 15. A 16. D 17. C 18. B 19. B 20. D

21. C 22. D 23. B 24. B 25. B 26. C 27. B 28. C 29. A 30. B

31. B 32. A 33. C 34. B 35. A 36. B 37. B 38. A 39. B 40. C

41. D 42. B 43. B 44. B 45. B 46. B 47. A 48. B 49. A 50. B

51. A 52. B 53. B 54. C 55. A 56. C 57. C 58. A 59. A 60. A

61. D 62. A 63. C 64. A 65. B 66. A 67. B 68. B 69. C 70. B

71. B 72. A 73. C 74. C 75. D 76. D 77. C 78. B

17
www.gradeup.co

18
www.gradeup.co

SOLUTION

1. Circuitous means round about or not option C is invalid since medicine is not
direct So circuitous: indirect. built till immunity is developed in the
4. Betrayed. means ‘showed’ or revealed. horses. Option D is incorrect since specific
5. Use of chemical agents in warfare would be examples are cited to illustrate, and this
undesirable. cannot capture the essence.

6. Given relationship is worker: workplace. A 11. scope for freedom of action or thought.

gladiator is "journalists have considerable latitude in

(i) a person, usually a professional criticizing public figures" hence, freedom is

combatant trained to entertain the public answer.


12. option B is correct because “Should” –
by engaging in mortal combat with another
not to be used in a request
person or a wild.
14. beggary' is 'poverty' and wont suit in the
(ii) A person engaged in a controversy or
given situation. 'nomenclature' is 'process
debate, especially in public.
of naming' is irrelevant. 'jealousy ' is also
7. Clues: foreign technocrats did something
irrelevant in the given situation.
negatively to the problems - so it is
'nonchalance' is 'casualness' and fits here.
counter-productive to invite them. All other
16. The sentence 'Two and two make four'
options are non-negative. Best choice is
is also grammatically correct and
exacerbated which means aggravated or appropriate sentence.
worsened. 17. Correct answer is a friend in need is a
8. Best antonym is rarity which means friend indeed
shortage or scarcity. 19. Quarrel quarrel means having an
9. Available is the correct option. argument.
Alternatively, we can say, option (D) fall out means the same.
"human genes are to be manipulated only • make out - make progress
to correct diseases for which the • call out - to shout something

satisfactory treatments are not available." • dig out - to get something out of a place

Only Available makes sense here. 21. Part of sentence is in past tense (was filed)

10. From the passage it cannot be inferred that so we should write the entire sentence in

horses are given immunity as in option A, past. Only one option (C) "was allowed
to" is in past.
since the aim is to develop medicine and in
22. (A). All women might not be doctors as it
turn immunize humans. Option B is correct
is not explicitly mentioned.
since it is given that horses develop
(B). There might be doctors which are not
immunity after some time. Refer “until
women (men, transgender?). Since
their blood built up immunities”. Even
nothing is mentioned explicitly about the

19
www.gradeup.co

general populace of doctors, we cannot 30. Revert means to get back.


assume that there are only women 31. Vindicated means to free from
doctors. allegation(unproved) and substantiate
(C). There might be entrepreneurs which means prove the truth of.
are not women. 32. Frogs make ‘croak’ sound.
(D). This is correct as all women are 33. The word similar in meaning to Educe is
entrepreneurs. Also, some of these women Extract.
entrepreneurs are doctors. 34. The principal presented the chief guest
23. Propel :- motivate, stimulate, to push with a memento, as token of appreciation.

forward 35. Tuberculosis, together with its effects,

Dispel :- do away, banish, discard, delete ranks as one of the leading causes of death

repel: - to push or thrust away is correct one

Impel: – urged; persuaded 36. Option B is the answer. The wall

option "B" sometimes breaks. Sometimes it won’t


break. So Humpty Dumpty does not fall
25. She will feel much better if she gets some
sometimes, while having lunch. This can be
rest.
inferred.
If clause (condition) - If + simple present -
37. Human security largely depends upon
if she gets some rest
environmental security. But on the
Main clause (result) - simple future - She
contrary, human progress seems
will feel much better
contradictory to environmental security.
As in all conditional sentences, the order of
From the passage its clear that only B
the clauses is not fixed. You may have to
option is correct.
rearrange the pronouns and adjust
39. Words joined to a singular subject by
punctuation when you change the order of
WITH, AS WELL AS takes a singular verb.
the clauses, but the meaning is identical.
So it will be WAS.
26. bear means to carry (in this context)
40. Misogynous is an adjective and it describes
losing OR loosing ?
a person who dislikes women.
loose is opposite of tight. Hence losing
Its adverb form: Misogynously
27. Depreciated meaning diminish in value
Noun form: Misogynism
over a period of time.
41. All film directors are film stars
28. The word advice is a noun.
All film stars are playback singers.
The word advise is used as a verb.
A ⟹ B and B ⟹ C means A ⟹ C.
29. Ans. will be (A) Dissent, which means
So All film directors are playback singers.
disagree
Conclusion 2 is also true as even if one film
• descent - going down
director exist, he must be a film star. (We
• decent - conforming to acceptable have to assume domain sets to be non-
standard empty here)
• decadent - corrupt Correct Answer: Option D

20
www.gradeup.co

42. Q part should be " the instructor had 48. 1. On Diwali, the family rises early in the
marked" morning.
43. From first line in para its clear that schools 2. The whole family, including the young

are giving less importance to arts and the old enjoy doing this.

education. hence (iv) This sentence can come only after another

However, no such increase has been sentence where an action is done.


3. Children let off fireworks later in the
announced in this year's Budget.
night with their friends.
From this line we can infer that artists are
This sentence can come only after another
expected funding for arts to increase this
sentence explaining something happening
year.
in early night -- (4)here.
44. Let us is followed by a verb.
4. At sunset, the lamps are lit and the
But introvert, atheist and altruist are all
family performs various rituals.
nouns.
5. Father, mother, and children visit
Hence, the correct answer is alternate
relatives and exchange gifts and sweets.
which is a verb. Only one suitable for position 2and
45. For condition regarding something which sentence (2) can follow this.
already happened, should have practiced is 6. Houses look so pretty with lighted lamps
the correct choice. all around.
46. Pertinacious -- persistent So, the correct order will be 5−2−4−3.
Viaticum -- travel allowance for a 49. An adjective can exist in three forms –
journey positive, comparative and superlative.

Word origin of 'viaticum': from Latin, from The positive form is the base form of the

viaticum belonging to a journey, from viāre adjective. The comparative form

to travel, from via way expresses a higher degree of some


quality. The superlative form expresses
Clandestine -- kept secret
the highest degree.
Ravenous -- extremely hungry
50. Lose (verb)
Option B is the answer.
51. Here ‘effectiveness’ is noun and
47. (A) is the right answer.
‘prescribed’ is verb. So these words are apt
Option (D) is incorrect because the secret
and befitting with the given word
is between them and not solely with Ram
‘medicine’.
so its contradicting with the original
52. (iii) He was sure that his friends would
statement.
help him when in need.
Options (B) and (C) are false because "I" is
(iv) His friends did not help him last week.
incorrect usage as object, it should be
Only these 2 statements can be inferred.
"me".
Option B.

21
www.gradeup.co

53. A. Facilitated: to make easier or less 60. Hurtful means causing pain or suffering or
difficult; help forward (an action, a something that is damaging or harmful.
process, etc.) The expression ‘sharp tongue’ defines a

B. Felicitated: to compliment upon a bitter or critical manner of speaking.

happy event; congratulate. 61. Antagonist is a adversary or one who

C. Fantasized: to create in one's fancy, opposes/contends against another. Hence


the closest meaning to word “antagonistic”
daydreams, or the like; imagine
is hostile.
D. Facillitated: incorrect word
63. • 'respectively' is used when two or more
So only suitable option is B.
items are used in a sentence, order-wise.
54. Ans. (C) rest is well known
• 'retrospectively', generally, is a look back
The phrasal verb "rest is history" means
at events that took place.
that the later part of the story is well
• 'figuratively' is used to indicate a
known.
departure from a literal use of words,
55. Social science disciplines had a pre-colonial
metaphorically.
origin.
• 'rightfully' is used in accordance with a
56. A. The price of an apple is greater than an
legitimate right or claim to anything
onion. -- Wrong as price of apple is 64. "I lived too near the seat of events, and
compared not with price of onion but with was too intimately associated with the
onion actors, to get the perspective needed
B. The price of an apple is more than for impartial recording of these matters"
onion. -- Wrong, same as above This statement shows that option A is
C. The price of an apple is greater than TRUE and B, C and D are FALSE.
that of an onion. -- Correct usage 65. ‘Piece’ means an amount that is cut or
D. Apples are more costlier than onions. -- separated from a large section of

with "costlier" we do not need "more". something. ‘Peace’ means a state of

Correct Option: C. tranquillity or quiet So, the right option is

57. The meaning of underlined word grasping ‘b’

means clutching (or holding something 66. Even though there is a vast scope for its

tightly). improvement, tourism has remained a

58. The number of people in India infected neglected area.


with rabies is high Meaning: Tourism can be improved; but it
59. Use of conditional sentence based on past has been neglected.
participle form. Option (A)
I could have made arrangements had I 67. (A) is beyond the scope of given
been informed earlier. information option C can also be discarded

22
www.gradeup.co

on the same grounds. The argument deals the boat, ‘at’ is the most appropriate
with the coastal region becoming crowded option. We also use ‘at’ to specify places

and polluted because of the upcoming like ‘at the market’.

luxury resort. Option B precisely underlines Correct option is (B).

the theme of the para. 71. The given sentence is pointing to some

68. Statement (i) is not true as nowhere it is kind of imagination, hence the most
appropriate word is ‘pictured’.
mentioned that John was a captain in
72. “the company” is singular, hence “uses”
junior team. The introductory line
“The strategies” is plural, hence “include”
emphasizes on the board recognizing
73. It is given at the starting of the paragraph
John's potential (Latent quality/possibility)
that the Indian history written by British
as leader of the team. Statement (iii) also
historians was extremely well documented
manipulates the facts mentioned in the
and researched and later it is intended to
argument.
say that it was sometimes biased. The
The 3rd statement of the argument while
sentence ‘but not always impartial’ implies
this aggression has filled stadia with die-
that it was sometimes biased.
hard fans does not indicate fans
74. The untimely loss of life is a cause of
expectations from John as a caption. serious global concern as thousands of
Statement (ii) The concluding statement of people get killed in accidents every year
the para suggests that finding a completer while many other die of diseases like
captain is a tough task as it look John cardiovascular.
many years to become a successful and 75. He was not only accused of theft but also
calculative batsman - captain. of conspiracy.
Statement (iv) can be explicitly concluded 76. Explicit: Implicit:: Express: Repress
from the last 4 lines of the para. 77. The French-speaking couple were upset at

69. The number of different orders in which P, the English announcements being longer

Q and T arranged = 3! x 2 x 1 = 6. than the French ones.

70. As the sentence is intended to specify a 78. East Asian crisis → subprime lending crisis

particular time instance of the arrival of → banking crisis → global financial crisis.

❖❖❖❖

23
www.gradeup.co

24
2
www.gradeup.co

Reasoning Ability

Analysis of Previous GATE Papers

2017 Set 1

2017 Set 2

2016 Set 1

2016 Set 2

2016 Set 3

2015 Set 1

2015 Set 2

2015 Set 3
2020

2019

2018
Year →
Topic
Topic ↓

MCQ Type 1 2 1 1 2 1 1
1 Mark
Numerical Type
Reasoning Ability MCQ Type 2 1 1 1 1 1 1 1
2 Marks
Numerical Type
Total Marks 4 3 4 3 3 4 3 3

25
www.gradeup.co

1. Hari (H). Gita (G). Irfan (I) and Saira (S) 6. Lights off our colors (red, blue, green,
are siblings (i.e. brothers and sisters). All yellow)are hung on a ladder. On every step
were born on 1st January. The age of the ladder there are two lights. If one of
difference between any two successive the lights is red, the other light on that
siblings (that is born one after another) is step will always be blue. If one of the
less than 3 years. Given the following lights on a step is green, the other light on
facts: that step will always be yellow. Which of
1. Hari’s age + Gita’s age> Irfan's age + the following statements is not necessarily
Saira’s age. correct?
2. The age difference between Gita and A. The number of red lights is equal to the
Saira is1 year. However, Gita is not the number of blue lights.
oldest and Saira is not the youngest. B. The number of green lights is equal to
3. There are no twins. the number of yellow lights.
In what order were they born (oldest C. The sum of the red and green lights is
first)? equal to the sum of the yellow and blue
A. HSIG B. SGHI lights.
C. IGSH D. IHSG D. The sum of the red and blue lights is
[2010: 2 Marks] equal to the sum of the green and yellow
2. What is the next number in the series? lights.
12 35 81 173 357_________. [2014: 2 Marks, Set-2]
A.720 B.725 7. “India is a country of rich heritage and
C.729 D.735 cultural diversity.” Which one of the
[2014: 1 Mark, Set-1] following facts best supports the claim
3. Find the odd one from the following group: made in the above sentence?
WEKO IQWA FNTX NVBD A. India is a union of 28 states and 7 union
A. WEKO B. IQWA territories.
C. FNTX D. NVBD B. India has a population of over 1.1
[2014: 2 Marks, Set-1] billion.
4. Fill in the missing number in the series. C. India is home to 22 official languages
2 3 6 15 __?__ 157.5630 and thousands of dialects.
A.49 B.35 D. The Indian cricket team draws players
C.45 D.22 from over ten states.
[2014: 1 Mark, Set-2] [2014: 1 Mark, Set-3]
5. Find the odd one in the following group 8. The next term in the series 81, 54, 36,
QWZB, BHKM, WCGJ, MSVX, 24,………is _______.
A. QWZB B. BHKM A. 10 B. 16
C. WCGJ D. MSVX C. 11 D. 19
[2014: 2 Marks, Set-2] [2014: 1 Mark, Set-3]

26
www.gradeup.co

9. In which of the following options will the 15. Ms. X will be Bag dogra from 01/05/2014
expression P < M be definitely true? to 20/05/2014 and from 22/05/2014 to
A. M < R> P > S B. M > S < P< F 31/05/2014. On the morning of
C. Q < M < F = P D. P =A< R < M 21/05/2014, she will reach Kochi via
[2014: 1 Mark, Set-3] Mumbai.
10. Find the next term in the sequence: Which one of the statements below is
7 G, 11 K, 13 M, ________. logically valid and can be inferred from the
A. 15 Q B. 17 Q above sentences?
C. 15 P D. 17 P A. Ms. X will be in Kochi for one day, only
[2014: 2 Marks, Set-3] in May.
11. Find the next term in the sequence: B. Ms. X will be in Kochi for only one day in
13M, 17Q, 19S, _______ May.
A. 21 W B. 21 V C. Ms. X will be only in Kochi for one day in
C. 23 W D. 23 V May.
[2014: 2 Marks, Set-4] D. Only Ms. X will be in Kochi for one day
12. If ‘KCLFTSB’ stands for ‘best of luck’ and
in May.
‘SHSWDG’ stands for 'good wishes', which
[2015 : 2 Marks, Set-3]
of the following indicates ‘ace the exam'?
16. Find the missing sequence in the letter
A. MCHTX B. MXHTC
series below:
C. XMHCT D. XMHTC
A, CD, GHI ? UVWXY
[2014: 2 Marks, Set-4]
A. LMN
13. Operates , and → are defined by:
B. MNO
a−b a+b
a b= ,a b= ; a → b = ab. C. MNOP
a+b a−b
D. NOPQ
Find value of (66 6) → (66 6)
[2015 : 1 Mark, Set-3]
A. –2 B. –1
17. Michael lives 10 km away from where I
C. 1 D. 2
live. Ahmed lives 5 km away and Susan
[2015 :1 Mark, Set-1]
lives 7 km away from where I live. Arun is
14. Fill in the missing value
farther away than Ahmed but closer than
Susan from where I live. From the
information provided here, what is one
possible distance (in km) at which I live
from Arun's place?
A. 3.00 B. 4.99
C. 6.02 D. 7.01
[2016: 1 Mark, Set-I]
[2015 :2 Marks, Set-1]

27
www.gradeup.co

18. Leela is older than her cousin Pavithra, A. P is the son-in-law of M


Pavithra's brother Shiva is older than B. P is the grandchild of M
Leela. When Pavithra and Shiva are visiting C. P is the daughter-in law of M
Leela, all there like to play chess. Pavithra D. P is the grandfather of M
wins more often than Leela does. Which [2016 : 1 Mark, Set-3]
one of the following statements must be 22. The number that least fits this set:
TRUE based on the above? (324, 441, 97 and 64)is _______
A. When Shiva plays chess with Leela and A. 324 B. 441
Pavithra, he often loses. C. 97 D. 64
B. Leela is the oldest of three. [2016: 1 Mark, Set-3]
C. Shiva is better chess player than 23. A flat is shared by four first year under
Pavithra. graduate students. They agreed to allow
D. Pavithra is the youngest of the three. the oldest of them to enjoy some extra
[2016: 2 Marks, Set-I] space in the flat. Manu is two months older
19. Based on the given statements, select the than Sravan, who is three months younger
appropriate option with respect to
than Trideep. Pavan is one month older
grammar and usage. Statements
than Sravan. Who should occupy the extra
I. The height of Mr. X is 6 feet.
space in the flat?
II. The height of Mr. Y is 5 feet.
A. Manu
A. Mr. Xis longer than Mr. Y.
B. Sravan
B. Mr. X is more elongated than Mr. V.
C. Trideep
C. Mr. X is taller than Mr. Y.
D. Pavan
D. Mr. X is lengthier than Mr. Y.
[2016 : 2 Marks, Set-3]
[2016: 1 Mark, Set-2]
24. Some tables are shelves. Some shelves are
20. M and N start from the same location. M
chairs. All chairs are benches. Which of the
travels10km East and then 10km North-
following conclusions can be deduced from
East. N travels5 km South and then 4 km
the preceding sentences?
south-East. What is the shortest distance
(i) At least one bench is a table.
(in km)between Mand N at the end of their
(ii) At least one shelf is a bench.
travel?
(iii) At least one chair is a table.
A. 18.60 B. 22.50
(iv) All benches are chairs.
C. 20.61 D. 25.00
A. only (i)
[2016 : 2 Marks, Set-2]
B. only (ii)
21. M has a son Q and a daughter R. He has
C. only (ii) and (iii)
no other children. E is the mother of P and
D. only (iv)
daughter in-law of M. How is P related to
[2017: 1 Mark, Set-1]
M?

28
www.gradeup.co

29
www.gradeup.co

25. S, T, U, V, W, X, Y and Zare seated around A. X is the mother-in-law of P.


a circular table. ‘T’s neighbors are Y and V. B. P and R are net married to each other.
Z is seated third to the left of T and second C. Pisa son of X and Y.
to the right of S. D’s neighbors are Sand Y; D. Q cannot be married to P.
and T and W are not seated opposite each [2017 : 2 Marks, Set-2]
other. Who is third to the left of V?
29. Five people P,Q,R, S and T work in a bank.
A. X B. W
P and Q don't like each other but have to
C. U D. T
share an office till T gets a promotion and
[2017: 2 Marks, Set-1]
moves to the big office next to the garden.
26. A rule states that in order to drink beer,
R, who is currently sharing an office with T
one must be over 18 years old. In a bar
wants to move to the adjacent office with
there are 4 people. P is 16years old, Q is
25 years old, R is drinking milkshake and S S, the handsome new intern. Given the

is drinking a beer. What must be checked floor plan, what is the current location of

to ensure that the rule is being followed? Q, R and T

A. Only P’s drink (O = Office, WR = Washroom).


B. Only P’s drink and S's age
C. Only S’s age
D. Only P’s drink. Q’s drink and S’s age
[2017: 1 Mark, Set-2]
27. Fatima starts from point P, goes North for
3 km and then East for 4 km to reach point
Q. She then turns to face point P and goes
15 km in that direction. She then goes
North for 6 km. How far is she from point P
and in which direction should she go to
reach point P?
A. 8 km, East B. 12 km, North
C. 6 km, East D. 10 km, North
[2017 :1 Marks, Set-2]
28. Each ofP, Q, R, S, W, X, Y and Z has been
marriedat most once. Xand Yare married
and have twochildren Pand Q. Z is the
grandfather of thedaughter S of P. Further,
Z and Ware marriedand are parents ofP.
Which one of the following must
necessarily be FALSE?

30
www.gradeup.co

1. Mathew is not standing next to Seema.


2. There are two people standing between
Lohit and the engineer.
3. Rahul is not a doctor.
4. The teacher and the dancer are standing
next to each other.
5. Seema is turning to her right to speak

[2019 :2 Marks] to the doctor standing next to her.


Who amongst them is an engineer?
30. Four people are standing in a line facing
A. Rahul
you. They are Rahul, Mathew, Seema and
B. Mathew
Lohit. One is an engineering, one is a
C. Seema
doctor, one a teacher and another a
D. Lohit
dancer. You are told that:
[2019 : 2 Marks]

ANSWER

1. B 2. B 3. D 4. C 5. C 6. D 7. C 8. B 9. D 10. B

11. C 12. B 13. C 14. 3 15. B 16. C 17. C 18. D 19. C 20. C

21. B 22. C 23. C 24. B 25. A 26. B 27. A 28. D 29. A 30. B

SOLUTION

1. H+G>I+S ...(1) 12 × 2 + 11 = 35
and G – S = 1 ...(2) 35 × 2 + 11 = 81

G is not oldest, S is not youngest. 81 × 2 + 11 = 173

 H+1>I 173 × 2 + 11 = 357

Irfan older than Hari 357 × 2 + 11 = 725


3.
Gita older than Sarita
From given option SGHI
2. The given series is
12, 35, 81, 173, 357, .......
The given series follows the following Hence the odd one from the following
group is N, V, B, D.
pattern

31
www.gradeup.co

4. 66 − 6 60 5
13. 66 6= = =
66 + 6 72 6
66 + 6 72 6
66 6= = =
66 − 6 60 5
2nd number 5 6
is in increasing order as (66 6) → (66 6) =  =1
1st number 6 5
shown above. 14. Middle number is the average of number
5. adding 6,3and 2 gives the consecutive on both sides.
letters. 3+3 6
 Average of 3 and 3 is = =3
Only C does not follow. 2 2
7. Diversity is shown in terms of difference 15. Second sentence says that Ms. X reaches
language. Kochi on 21/05/2014. Also she has to be in

8. Each number is just 2/3 of the previous Bagdogora on 22/05/2014.

number:  She stays in Kochi for only one day in


81 x 2/3 = 54 may.

54 x 2/3 = 36 16.

36 x 2/3 = 24
Therefore,
24 x 2/3 = 16
9. As P=AP=A
and A<RA<R and
17. From given data, the following diagram can
R < M ⟹A < M ⟹P < M R < M
be possible.
⟹A < M ⟹P < M
10. 7G - G is the 7th alphabet
11K - K is the 11th alphabet
13M - M is the 13th alphabet
15th alphabet is O
Here S → Susan
17th alphabet is Q
A → Arun
Therefore A, C, D eliminated
Ah → Ahmed
Also, 7,11,13 are prime numbers, next
M → Michael
prime in the sequence would be 17
From the above diagram, Arun lives
12. KCLFTSB: BST-Best, F-Of, LCK-Luck
farthest away than Ahmed means more
(Reverse order)
than 5 km but closer than Susan means
SHSWDG: GD-Good, WSHS-Wishes
less than 7 km, from the given
(Reverse order)
alternatives.
Similarly, “ace the Exam’ - C-Ace, TH-The,
So, only option C is possible.
XM-Exam.

32
www.gradeup.co

18. From the given question two statements From the given figure
will be followed.
MN = (OM)2 + (ON)2
For statement I
O′M = 5 2 + 5 + 2 2 = 5 + 7 2
Arrange the given data according to their
ages. O′N = 10 + 5 2 − 2 2 = 10 + 3 2

MN = (5 + 7 2)2 + (10 + 3 2)2

= 25 + 98 + 70 2 + 100 + 18 + 60 2
 20.61 km
For statement II
Arrange the given data according to their 21.

winning.

So, from statement I and II, it is clear that


So, from the above relation diagram it is
only option D is possible (i.e., statement
clear that P is the grandchild of M.
I).
22. 324= (18)2
19.
441= (21)2
64= (8)2
Whereas 97 is not perfect square of any
number.
23. Manu age = Sravan age + 2 months
Manu age = Trideep age - 3 months
Pavan age = Sravan’s age + 1 month
From the above statement
Hence from the given figure Mr. X is taller
Trideep age> Man> Pavan > Sravan
than Mr. Y by 1 foot.
Hence, Trideep can occupy the extra space
20.
in the flat.
24. From given condition

Only conclusion (ii) follows.


25. Following circular seating arrangement can
be drawn from the given data

33
www.gradeup.co

The required distance


FP = x = √(100 − 36) = √64 = 8, East
28. Given Z is Grand Father of S This can be
happened only if P is married to R or Q is
married to R
A) X is mother in law of R (as Gender is
From the given arrangement ‘X’ is the third
not given so X can be mother in law of R)
to the left of ‘V’.
--------- May be True
26. If a person drinking beer, his age should
B) P and R are not married to each other
be checked, to verify he's eligible (>18
(We cannot say whether PR are married or
years old)
QR are married since S is grand Daughter
• If a person not drinking beer, checking
of Z)
him is useless.
so Option B May be True
• If a person is younger than 18 years old,
C) P is Son of X and Y ( as P gender is not
his drink must be checked, It should not be
given, P can be son) ------ May be True
beer.
D) Q can't Married to R ( Q May Marry R if
• If a person is older than 18 years old,
Q and R are Opposite genders ) ----- This
checking his drink is useless.
is false
So, here Only P′s drink and S′s age should
29. As it is given that R is sharing an office
be checked to ensure that the rule is being
with T. So only option (A) is correct.
followed.
30. According to the given data.
Correct Answer: B
27.

 Mathew must be an Engineer.

❖❖❖❖

34
www.gradeup.co

35
3
www.gradeup.co

Numerical Ability

Analysis of Previous GATE Papers

2017 Set 1

2017 Set 2

2016 Set 1

2016 Set 2

2016 Set 3

2015 Set 1

2015 Set 2

2015 Set 3
2020

2019

2018
Year →
Topic
Topic ↓

MCQ Type 1 1 3 2 1 2 1 1 2 1
1 Mark
Numerical Type
Numerical Ability MCQ Type 4 2 3 3 3 2 3 3 1 2
2 Marks
Numerical Type
Total Marks 9 5 9 8 7 4 8 7 3 6 1

36
www.gradeup.co

1. 25 persons are in a room. 15 of them play Suppose, P lost by 2 votes. then what was
hockey, 17 of them play football and 10 of the total number of voters?
them play both hockey and football. Then A. 100
the number of persons playing neither B. 110
hockey nor football is C. 90
A. 2 B. 17 D. 95
C. 13 D. 3 [2011 : 1 Mark]
[2010: 1 Mark] 6. The fuel consumed by a motorcycle during
2. If 137 + 276 = 435, how much is 731 + a journey while travelling at various speeds
672? is indicated in the graph below
A. 534 B. 1403
C. 1623 D. 1531
[2010: 2 Marks]
3. 5 skilled workers can build a wall in 20
days; 8 semiskilled workers can build a
wall in 25 days;10 unskilled workers can
build a wall in 30 days. If a team has 2
skilled. 6 semiskilled and 5unskilled
workers. how long will it take to build the
wall?
The distance covered during four laps of
A. 20 days B. I8 days
the journey are listed in the table below.
C. 16 days D. 15 days
Lap Distance Average speed
[2010: 2 Marks]
(kilometers) (kilometers per hour)
4. Given digits 2, 2, 3, 3, 3, 4, 4, 4, 4 how
P 15 15
many distinct 4-digit numbers greater than
Q 75 45
3000 can be formed?
R 40 75
A. 50 B. 51
S 10 10
C. 52 D. 54
[2010: 2 Marks] From the given data, we can conclude that
5. There are two candidates P and Q in an the fuel consumed per kilometer was least
election. During the campaign 40% of the
during the lap
voters promised to vote for P, and rest for
A. P
Q. However, on the day of election 15% of
B. Q
the voters went back on their promise to
C. R
vote for P and instead voted for Q.25% of
D. S
the voters went back on their promise to
[2011:2 Marks]
vote for Q and instead voted for P.

37
www.gradeup.co

7. Three friends, R, S and T shared toffee 11. The data given in the following table
1 summarizes the monthly budget of an
from a bowl, R took rd of the toffees but
3 average household.
1 Category Amount
returned 4 toffees to the bowl. Stook th
4 Food 4000
of what was left but returned three toffees Clothing 1200
to the bowl. T took half of the remainder Rent 2000
but returned two back into the bowl. If the Savings 1500
bowl had 17 toffees left, how many toffees Others 1800
were originally there in the bowl? The approximate percentage of the

A. 38 B. 31 monthly budget NOT spent on savings is.

C. 48 D. 41 A. 10% B. 14%
C. 81% D. 86%
[2011:2 Marks]
[2012 : 2 Marks]
|y|
8. Given that f(y) = , and q is any non- 12. A and B friends. They decide to meet
y
between 1PM and 2 PM on a given day.
zero real number, the value of |f(q) – f(–q)
There is a condition that whoever arrives
| is
first will not wait for the other for more
A. 0 B. –1
than 15 minutes. The probability that they
C. 1 D. 2
will meet on that day is
[2011 :2 Marks]
1 1
A. B.
9. The sum of n terms of the series 4 + 44 + 4 16
444 + .... is 7 9
C. D.
 4  n +1
16 16
A. 
81  [10 − 9n − 1]
  [2012:2 Marks]

 4  13. Raju has 14 currency notes in his pocket


n −1
B.   [10 − 9n − 1]
consisting of only Rs. 20 notes and Rs. 10
 81 
notes. The total money value of the notes
 4  n +1
C.   [10 − 9n − 10] is Rs. 230.The number of Rs. 10 notes that
 81 
Raju has is
 4  n
D. 
81  [10 − 9n − 10] A. 5 B. 6
 
C. 9 D. 10
[2011 :2 Marks]
[2012: 2 Marks]
10. If (1.001)1259 = 3.52, (1.001)2062 = 7.85,
14. There are eight bags of rice looking alike,
then (1.001)3321
seven of which have equal and one is
A. 2.23 B. 4.33 slightly heavier. The weighing balance is of
C. 11.37 D. 27.64 unlimited capacity. Using this balance, the
[2012:1 Mark] minimum number of weighting required to
identify the heavier bag is

38
www.gradeup.co

39
www.gradeup.co

A. 2 B. 3 2 3
A. B.
C. 4 D. 8 7 7

[2012: 2 Marks] 1 5
C. D.
7 7
15. In the summer of2012, in New Delhi, the
[2013 :2 Marks]
mean temperature of Monday to
20. The statistics of runs scored in a series by
Wednesday was 41°Cand of Tuesday to
four batsmen are provided in the following
Thursday was 43°C. If the temperature on
table. Who is the most consistent batsman
Thursday was 15% higher than that of
of these four?
Monday, then the temperature in °C on
Batsman Average Standard Deviation
Thursday was
A. 40 B. 43 K 31.2 5.21

C. 46 D. 49 L 46.0 6.35

[2013 : 1 Mark] M 54.4 6.22

16. A car travels 8 km in the first quarter of an N 17.9 5.90

hour,6 km in the second quarter arid 16


A. K B. L
km in the third quarter. The average speed
C. M D. N
of the car in km per hour over the entire
[2014: 1 Mark, Set-1]
journey is
21. For submitting tax returns, all resident
A. 30 B. 36
males with annual income below Rs. 10
C. 40 D. 24
lakh should fill up Form P and all resident
[2013 : 2 Marks]
females with income below Rs. 8lakh
17. Find the sum to n terms of the series
should fill up Form O. All people with
10 + 84 + 734 + .....
incomes above Rs. 10 lakh should fill up
9(9n + 1) 9(9n − 1)
A. +1 B. +1 Form R, except non residents with income
10 8
above Rs. 15lakhs, who should fill up Form
9(9n − 1) 9(9n − 1)
C. +n D. + n2 S. All others should fill Form T. An example
8 8
of a person who should fill Form T is
[2013 :2 Marks]
A. a resident male with annual income Rs.9
18. The set of values of p for which the roots
lakh.
of the equation 3x2 + 2x + p(p – 1) = 0
B. a resident female with annual income
are of opposite sign is
Rs.9 lakh.
A. (∞, 0) B. (0, 1)
C. a non-resident male with annual income
C. (1, ∞) D. (0, ∞)
Rs. 16lakh.
[2013 :2 Marks]
D. a non-resident female with annual
19. What is the change that a leap year,
selected at random, will contain 53 income Rs. 16lakh.

Saturdays? [2014: 2 Marks, Set-1]

40
www.gradeup.co

22. A train that is 280 meters long, travelling 25. A regular die has six sides with numbers 1
at a uniform speed, crosses a platform in to 6marked on its sides. If a very large
60 seconds and passes a man standing on number of throws show the following
the platform in 20seconds. What is the frequencies of occurrence:

length of the platform in meters? 1 → 0.167; 2 → 0.167; 3 → 0.152;

[2014: 2 Marks, Set-1] 4 → 0.166;5 → 0.168; 6 → 0.180.

23. The exports and imports (in crores of Rs.) We call this die
of a country from 2000 to 2007 are given A. irregular B. biased
in the following bar chart. If the trade C. Gaussian D. insufficient

deficit is defined as excess of imports over [2014: 1 Mark, Set-2]

exports, in which year is the trade deficit 26. The sum of eight consecutive odd numbers

1/5th of the exports? is 656.The average of four consecutive


even numbers is87. What is the sum of the
smallest odd number and second largest
even number?
[2014: 2 Marks, Set-2]
27. The total exports and revenues from the
exports of a country are given in the two
charts shown below. The pie chart for
exports shows the quantity of each item
exported as a percentage of the total
A. 2005 B. 2004
quantity of exports. The pie chart for the
C. 2007 D. 2006
revenues shows the percentage of the total
[2014: 2 Marks, Set-1]
revenue generated through export of each
24. You are given three coins: one has heads
item. The total quantity of exports of all
on both faces, the second has tails on both
the items is500 thousand tones and the
faces, and the third has a head on one face
total revenues are250 crore rupees. Which
and a tail on the other. You choose a coin
item among the following has generated
at random and toss it, and it comes up
the maximum revenue per kg?
heads. The probability that the other face
is tails is
1 1
A. B.
4 3

1 2
C. D.
2 3

[2014 :2 Marks, Set-1]

41
www.gradeup.co

A. Item 2 B. Item 3 A. (i) and (ii) only


C. Item 6 D. Item 5 B. (i), (ii), (iii) and (iv)
[2014 :2 Marks, Set-2] C. (i), (iii) and (iv) only
28. It takes 30 minutes to empty a half-full
D. (i), (ii) and (iii) only
tank by draining it at a constant rate. It is
[2014: 2 Marks, Set-3]
decided to simultaneously pump water into
30. A man can row at 8 km per hour in still
the half-full tank while draining it. What is
water. If it takes him thrice as long to row
the rate at which water has to be pumped
upstream, as to row downstream, then find
in so that it gets fully filled in10 minutes?
A. 4 times the draining rate the stream velocity in km per hour.

B. 3 times the draining rate [2014: 2 Marks, Set-3]

C. 2.5 times the draining rate 31. A firm producing air purifiers sold 200 units
D. 2 times the draining rate in2012. The following pie chart presents
[2014: 2 Marks, Set-2] the share of raw material, labor, energy,
29. The multi-level hierarchical pie chart shows
plant &machinery, and transportation costs
the population of animals in a reserve
in the total manufacturing cost of the firm
forest. The correct conclusions from this
in 2012. The expenditure on labor in 2012
information are:
is Rs. 4,50,000. In2013, the raw material

expenses increased by 30%and all other

expenses increased by 20%. If the

company registered a profit of Rs. 10 lakhs

in 2012,at what price (in Rs.) was each air

purifier sold?

(i) Butterflies are birds


(ii) There are more tigers in this forest
than redants.
(iii) All reptiles in this forest are either
snakes or crocodiles.
(iv) Elephants are the largest mammals in
[2014 :2 Marks, Set-3]
this forest.

42
www.gradeup.co

32. A batch of one hundred bulbs is inspected


by testing four randomly chosen bulbs. The
batch is rejected if even one of the bulbs is
defective. A batch typically has five
defective bulbs. The probability that the
current batch is accepted is ______.
[2014: 2 Marks, Set-3]
33. Let f(x, y)= xnyn = P. If x is doubled and y
is halved, the new value of f is
A. 2n – m P B. 2m – n P
[2014: 2 Marks, Set-4]
C. 2(n –m)P D. 2(m – n)P
37. A five digit number is formed using the
[2014: 1 Mark, Set-4]
digits 1,3, 5,7 and 9 without repeating any
34. In a sequence of 12 consecutive odd
of them. What is the sum of all such
numbers, the sum of the first 5 numbers is
possible five digit numbers?
425. What is the sum of the last 5
A. 6666660 B. 6666600
numbers in the sequence?
C. 6666666 D. 6666606
[2014: 1 Mark, Set-4]
[2014: 2 Marks, Set-4]
35. Industrial consumption of power doubled
5 1
38. If logx   = − , then the value of x is
from 2000-2001 to 2010-2011. Find the 7
  3
annual rate of increase in percent 343 125
A. B.
assuming it to be uniform over the years. 125 343
A. 5.6 B. 7.2 25 49
C. − D. −
49 25
C. 10.0 D. 12.2
[2015 :1 Mark, Set-1]
[2014: 2 Marks, Set-4]
39. A cube side 3 units is formed using a set of
36. A firm producing air purifiers sold 200 units
smaller cubes of side 1 unit. Find the
in2012. The following pie chart presents
proportion of the number of faces of the
the share of raw material, labor, energy,
smaller cubes visible to those which are
plant &machinery, and transportation costs
NOT visible.
in the total manufacturing cost of the firm
A.1 : 4 B. 1 : 3
in 2012. The expenditure on labor in 2012
C.1 : 2 D. 2: 3
is Rs.4,50,000. In2013, the raw material
[2015: 2 Marks, Set-I]
expenses increased by30% and all other
40. An electric bus has onboard instruments
expenses increased by 20%.
that report the total electricity consumed
What is the percentage increase in total since the start of the trip as well as the
cost forthe company in 2013? total distance covered.

43
www.gradeup.co

During a single day of operation, the bus the deer's 4. If the tiger and the deer
travels on stretches M, N, 0 and P, in that cover 8 meter and 5 meter per leap
order. The cumulative distance travelled respectively, what distance in meters will

and the corresponding electricity the tiger have to run before it catches the

consumption are shown in the table below: deer?

Cumulative Electricity used [2015 : 2 Marks, Set-2]


Stretch 44. If x> y> 1, which of the following must be
distance(km) (kWh)
true?
M 20 12
(i) Inx>Iny (ii) ex>ey
N 45 25
(iii) yx>xy (iv) cosx>cosy
0 75 45
A. (i) and (ii) B. (i) and (iii)
P 100 57
C. (iii) and (iv) D. (ii) and (iv)
The stretch where the electricity [2015 : 1 Mark, Set-3]

consumption per km is minimum is 1 1 1


45. If q−a = and r −b = and s− c = , the
r s q
A. M B. N
value of abc is ________.
C. 0 D. P
A. (rqs)–1 B. 0
[2015 : 1 Mark, Set-2]
C. 1 D. r + q + s
41. Ram and Ramesh appeared in an interview
[2016 :2 Marks, Set-1]
fortwo vacancies in the same department.
46. P, Q, Rand S are working on a project. Q
The probability of Ram's selection is 1/6
can finish the task in 25 days, working
and that of Ramesh is 1/8. What is the
alone for 12hours a day. P can finish the
probability that only one of them will be
task in 50 days, working alone for 12 hours
selected?
per day. Q worked 12hours a day but took
47 1 sick leave in the beginning for two days. R
A. B.
48 4
worked 18 hours a day on all days. What is
13 35 the ratio of work done by Q and Rafter7
C. D.
48 48
days from the start of the projects?
[2015 : 1 Mark, Set-2]
A. 10:11 B. 11:10
42. If a2 + b2 + c2= 1, then ab + bc + ac lies
C. 20:21 D. 21:20
in the interval [2016: 2 Marks, Set-1]
 2  1  47. Given (9 inches)1/2 = (0.25 yards)1/2, which
A. 1,  B.  − , 1
 3  2  one of the following statements is TRUE?
1  A. 3 inches = 0.5 yards
C.  , 1 D. [2, –4]
2  B. 9 inches = 1. 5 yards
[2015 :2 Marks, Set-2] C. 9 inches = 0.25 yards
43. A tiger is 50 leaps of its own behind a D. 81 inches = 0.0625 yards
deer. The tiger takes 5 leaps per minute to [2016 : 1 Mark, Set-2]

44
www.gradeup.co

45
www.gradeup.co

46
www.gradeup.co

47
www.gradeup.co

48. S,M,E and Fare working in shifts in a team 51. A wire of length 340 mm is to be cut into
to finish a project. M works with twice the two parts. One of the parts is to be made
efficiency of others but for half as many into a square and the other into a
days as E worked. S and M have 6 hour rectangle where sides are in the ratio of
shifts in a day. whereas E and F have 12 1:2. What is the length of the side of the
hours shifts. What is the ratio of square (in mm)such that the combined
contribution of M to contribution of E in the area of the square and the rectangle is a
project? MINIMUM?
A.1 : 1 B. 1 : 2 A. 30 B. 40
C.1 : 4 D. 2 : 1 C. 120 D. 180
[2016 : 1 Mark, Set-2] [2016 : 2 Marks, Set-2]
49. The Venn diagram shows the preference of 52. It takes 10s and 15s, respectively, for two
the student population for leisure trains travelling at different constant
activities. speeds to completely pass a telegraph
post. The length of the first train is 120 m
and that of the second train is 150 m. The
magnitude of the difference in the speeds
of the two trains (in m/s) is _______.
A. 2.0 B. 10.0
C. 12.0 D. 22.0
[2016 : 1 Mark, Set-3]

From the data given, the number of 53. The velocity V of a vehicle along a straight

students who like to read books or play line is measured in m/s and plotted as

sports is ___ . shown with respect to time in seconds. At

A. 44 B. 51 the end of the 7seconds, how much will

C. 79 D. 108 the odometer reading increase by (in m)?

[2016 : 2 Marks, Set-2]


50. Two and a quarter hours back, when seen
in a mirror, the reflection of a wall clock
without number markings seemed to show
1 : 30. What is the actual current time
shown by the clock?
A. 8:15 B. 11:15 A. 0 B. 3
C. 12: 15 D. 12:45 C. 4 D. 5
[2016 : 2 Marks, Set-2] [2016 : 2 Marks, Set-3]

48
www.gradeup.co

54. Find the area bounded by the lines 3x + 2y 58. Trucks (10 m long)and cars (5 m long) go
= 14,2x – 3y = 5 in the first quadrant. on a single lane bridge. There must be a
A. 14.95 B. 15.25 gap of at least20 m after each truck and a
C. 15.70 D. 20.35 gap of at least 15 m after each car. Trucks
[2016 : 2 Marks, Set-3] and cars travel at a speed of 36 km/h. If
55. A straight line is fit to a data set (In x, y). cars and trucks go alternately.
This line intercepts the abscissa at In x = What is the maximum number of vehicles
0.1 and has a slope of –0.02. What is the that can use the bridge in one hour?
value of y at x = 5 from the fit? A. 1440 B. 1200
A.–0.030 B.–0.014
C. 720 D. 600
C. 0.014 D. 0.030
[2017: 2 Marks, Set-1]
[2016 : 2 Marks, Set-3]
59. A contour line joins locations having the
56. In the summer, water consumption is
same height above the mean sea level.
known to decrease overall by 25%. A
The following is a contour plot of a
Water Board official states that in the
geographical region. Contour lines are
summer household consumption decreases
shown at 25 m intervals in this plot.
by 20%, while other consumption
increases by 70%.Which of the following
statements is correct?
A. The ratio of household to other
8
consumption is .
17
B. The ratio of household to other
1
consumption is .
17
The path from P to Q is best described by
C. The ratio of household to other
A. P to Q
17
consumption is . B. P to R
8
C. P to S
D. There are errors in the official’s
D. P to T
statement.
[2017: 2 Marks, Set-1]
[2017 :1 Mark, Set-1]
60. There are 3 Indians and 3 Chinese in a
57. 40% of deaths on city roads may be
group of6 people. How many subgroups of
attributed to drunken driving. The number
this group can we choose so that every
of degrees needed to represent this as a
slice of a pie-chart is subgroup has at least one Indian?

A. 120 B. 144 A. 56 B. 52

C. 160 D. 212 C. 48 D. 44

[2017: 1 Mark, Set-1] [2017: 2 Marks, Set-1]

49
www.gradeup.co

61. 500 students are taking one or more 64. The number of3-digitnumbers such that
courses out of Chemistry, Physics and the digit1 is never to the immediate right
Mathematics. Registration records indicate of 2 is
course enrolment as follows: Chemistry A. 781 B. 791
(329), Physics (186),Mathematics (295), C. 881 D. 891
Chemistry and Physics (83),Chemistry and [2017 : 2 Marks, Set-2]
Mathematics (217) and Physics and 65. What is the value of
Mathematics (63). How many students are 1 1 1 1
1+ + + + + ...?
taking all 3 subjects? 4 16 64 256
A. 37 B. 43 7
A. 2 B.
C. 47 D. 53 4

[2017 : 1 Mark, Set-2] 3 4


C. D.
2 3
62. A contour line joins locations having the
same height above the mean sea level. [2018 :1 Mark]

The following is a contour plot of a 66. A 1. 5 m in tall person is standing at a

geographical region. Contour lines are distance of3 m from a lamp post. The light

shown at 25 m intervals in this plot. from the lamp at the top of the post casts
her shadow. The length of the shadow is
twice her height. What is the height of the
lamp post in meters?
A. 1.5 B. 3
C. 4.5 D. 6
[2018 : 1 Mark]
67. If the number 715 ? 423 is divisible 3 (?
Denotes the missing digit in the
thousandths place), then the smallest
Which of the following is the steepest path
whole number iri [tie place of? is ______.
leaving from P?
A. 0 B. 2
A. P to Q B. P to P
C. 5 D. 6
C. P to S D. P to T
[2017 : 2 Marks, Set-2] [2018 : 1 Mark]

63. 1200 men and 500 women can build a 68. Two alloys A and B contain gold and

bridge in 2weeks, 900 men and 250 copper in the ratios of2 : 3 and 3: 7 by

women will take 3 weeks to build the same mass, respectively. Equal masses of alloys

bridge. How many men will be needed to A and B are melted tomake an alloy C. The

build the bridge in one week? ratio of gold to copper inalloy C is __.

A. 3000 B. 3300 A.5 : 10 B. 7 : 13

C. 3600 D. 3900 C.6 : 11 D. 9 : 13

[2017 : 2 Marks, Set-2] [2018 : 2 Marks]

50
www.gradeup.co

69. Leila aspires to buy a car worth Rs. 72. Two design consultants, P and Q, started
10,00,000after 5 years. What is the working from 8 AM for a client. The client
minimum amount in Rupees that she budgeted a total of USD 3000 for the
should deposit now in a bank which offers consultants. P stopped working when the
10% annual rate of interest, if the interest hour hand moved by 210 degrees on the
was compounded annually? clock. Q stopped working when the hour
A. 5,00,000 B. 6,21,000 hand moved by 240 degrees. P took two
C. 6,66,667 D. 7,50,000 tea breaks of 15minutes each during her
[2018 : 2 Marks] shift, but took no lunchbreak. Q took only
70. A cab was involved in a hit and run one lunch break for 20 minutes, but no tea
accident at night You are given the breaks. The market rate for consultants is
following data about the cabs in the city USD 200 per hour and breaks are not paid.
and the accident. After paying the consultants, the client
(i) 85% of cabs in the city are green and shall have USE _____ remaining in the
the remaining cabs are blue. budget.
(ii) A witness identified the cab involved in A. 000.00 B. 433.33
the accident as blue. C. 166.67 D. 300.00
(iii) It is known that a witness can correctly [2019: 2 Marks]
identify the cab color only 80% of the time 73. The bar graph in Panel (a) shows the
cab? proportion of male and female illiterates in
Which of the following options is closest to
2001 and 2011.The proportions of males
the probability that the accident was
and females in 2001 and2011 are given in
caused by a blue cab?
Panel (b) and (c), respectively. The total
A. 12% B. 15%
population did not change during this
C. 41% D. 80%
period.
[2018 : 2 Marks]
The percentage increase in the total
71. It would take one machine 4 hours to
number of literate from 2001 to 2011
complete a production order and another
is______.
machine 2 hours to complete the same
order. If both machines work
simultaneously at their respective constant
rates,the time taken to complete the same
order is_______ hours.

A. 2/3 B. 7/3
C. 4/3 D. 3/4
[2019 : 1 Mark]

51
www.gradeup.co

A. 33.43
B. 35.43 A. a2 − 3a2 B. a2 − 2a2

C. 34.43 C. a2 − a2 D. a2 − 2a2


D. 30.43 [2020:2 Marks]
[2019: 2 Marks] 77. a, b, c are real numbers. The quadratic

74. A super additive function n f (.) satisfies equation ax2 – bx + c = 0 has equal roots,

the following property which is β, then


Options.
f ( x1 + x2 )  f ( x1 ) + f ( x2 )

Which of the following functions is a super


A. b2  4ac ( )
B. 3 = bc / 2a2

additive function for x > 1? C.  = b / a D. 2 = ac


A. ex [2020: 2 Marks]
B. e-x 78. The following figure shows the data of
C. 1/x students enrolled in 5 years (2014 to
D. x 2018) for two schools P and Q. During this

[2020: 1 Marks] period, the ratio of the average number of

75. It is quarter past three in your watch. The the students enrolled in schools P to the

angle between the hour hand and the average of the difference of the number of
students enrolled in schools P and Q is
minute hand
………. .
A. 0°
B. 22.5°
C. 15°
D. 7.5°
[2020: 2 Marks]
76. A circle with centre O is shown in the
figure. A rectangle PQRS of maximum
possible area is inscribed in the circle. If A. 23 : 8 B. 8 : 23
the radius of the circle is a, then the area C. 23 : 31 D. 31 : 23
of the shaded portion is ……….. . [2020: 2 Marks]

52
www.gradeup.co

53
www.gradeup.co

ANSWER

1. D 2. C 3. D 4. B 5. A 6. A 7. C 8. D 9. C 10. D

11. D 12. C 13. A 14. A 15. C 16. C 17. D 18. B 19. A 20. A

21. B 22. 560 23. D 24. B 25. B 26. 163 27. D 28. A 29. D 30. B

31. 20000 32. 0.8145 33. A 34. 495 35. B 36. 22 37. B 38. A 39. C 40. B

41. B 42. B 43. 800 44. A 45. C 46. C 47. C 48. B 49. D 50. D

51. B 52. A 53. D 54. B 55. A 56. D 57. B 58. A 59. C 60. A

61. D 62. C 63. C 64. C 65. D 66. B 67. B 68. B 69. B 70. C

71. C 72. C 73. D 74. A 75. D 76. B 77. B 78. A

SOLUTION

1. No. of persons who play either football or 3. 5 skilled workers build wall in 20 days 1
hockey =15+17−10=22 skilled worker build wall in 20 × 5
No. of persons playing neither hockey nor = 100 days
football =25−22=3 Hence in 1 day, part of work done by
2. The numbers are given in octal number 1
skilled work =
system. 100
These equations hold true when you Similarly, in 1 day part of work done by
convert them into decimal no. system 1
semi-skilled workers =
and then add. 25  8
To convert: and in 1 day part of work done by un-
Multiply LSB with 8^0, Next bit with 8^1, 1
skilled worker =
3rd bit with 8^2 and so on ... And add 30  10

these values. You will get decimal So, part of work done in 1 day by 2 skilled,
equivalent of that no. Eg: 6 semi-skilled and 5 unskilled.
137 = (7x1 + 3x8 + 1x64) = 95 2 6 5 1
= + + =
276 = (6x1 + 7x8 + 2x64) = 190 100 200 300 15

435 = (5x1 + 3x8 + 4x64) = 285 So, work done by given workers in days
731= (1x1 + 3x8 + 7x64) = 473 = 15
672 = (2x1 + 7x8 + 6x64) = 442 4. Explanation: First digit is either 3 or 4.
1623= (3x1 + 2x8 + 6x64+1x512) = 915 We’ll consider each case separately:

54
www.gradeup.co

(1) First digit is 3: 7. Let total number of toffees in bowl be ‘x’, R


Then the rest of the numbers must come 1
took of toffees and returned 4 to the
3
from the list: 2, 2, 3, 3, 4, 4, 4, 4
bowl.
Therefore we may choose any 3-digit
1
sequence except 222 and 333 for the rest  Number of toffees with R = x−4
3
of the digits. This shows there are
2
Remaining of toffees in bowl = x+4
3*3*3 – 2 = 25 3
numbers in this case. 1 2 
Number of toffees with S = x + 4 − 3
(2) First digit is 4: 4  3 

Then the rest of the numbers must come 3 2 


Remaining toffees in bowl =  x + 4 + 4
from the list 2, 2, 3, 3, 3, 4, 4, 4 4 3 

Therefore we may choose any 3-digit Number of toffees with

sequence except 222 for the rest of the 1 3 2  


T=   x + 4 + 4 + 2
2 4 3  
digits. This shows there are
3*3*3 – 1 = 26 Remaining toffees in bowl

numbers in this case. 1 3  2  


=   x + 4  + 4 + 2
2 2  3  
Total=25+26=51
5. P Q 1 3  2  
Given:   x + 4  + 4 + 2 = 17
2 4  3  
40% 60%
–6% +6% 3 2 
 x + 4  = 27  x = 48
4  3 
+15%–15%
|y| | q|
49% 51% 8. Given: f(y) =  f(q) =
y q
 2% = 2 
| −q | − | q |
Total no of Voters are 100% = 100 f(–q) = =
−q q
6. Fuel consumption(km/lit) Actual
| q| | q| 2| q|
|f(q) – f(-q) | = + = =2
15 1 q q q
P. 60 km/l = l
60 4
9. Let S = 4 (1 + 11 + 111 + ...)
75 5
Q. 90 km/l = l 4
90 6 = (9 + 99 + 999 + ...)
9
40 8 4 3
R. 75 km/l = l = {(10 − 1) + 102 − 1) + (10 − 1) + ....}
75 15 9
10 1 4
S. 30 km/l = l = {(10 + 102 + ... + 10n ) − n}
30 3 9

4  (10n − 1)  4
= 10 − n = {10n+1 − 9n − 10}
9  9  81

55
www.gradeup.co

10. Let 1.001 = x Case-1 Case-2


x1259 = 3.52, and x2062 = 7.85 A1 A2 A3 = B1 B2 B3 A1 A2 A3 ≠ B1 B2 B3
 x 3321
=x 1259
·x 2062
= 3.52 × 7.85 Then either C1 or Either A or B would be
= 27.64 C2 is heavier heavier (Say A > B)
11. Monthly budget not spent on savings is:- 2nd
weighing
(4000+1200+2000+1800)=9000 C1 vs C2 A1 vs A2
Total budget If C1> C2, then C1 If A1 = A2, then A3
=(4000+1200+2000+1500+1800)=10500 If C1< C2, then C2 If A1> A2, then A1
Percentage%=(9000/10500)*100~ If A1< A2, then A2
=86.7%
So Minimum no of weighting required are
12.
=3
Mon + Tues + Wed.
15. = 41
3
Mon + Tues + Wed. = 123 ...(1)
Tues + Wed + Thurs.
= 43
3
Tue + Wed + Thu. = 129° ...(2)
(2) – (1)
Tues + Wed + Thu – (Mon + Tues + Wed)
OB is the line when both A and B arrive at
= 129 – 123 = 6°
same time.
115x
Total sample space = 60 × 60 = 3600 Thu. – Mon. = 6°  − x = 6
100
Favorable cases = Area of OABC
15x
– 2 (Area of SRC) Thus. - Mon = = 6
100
1  Mon = x = 40°
= 3600 − 2    45  45  = 1575
2 
115x
Thurs. =
1575 7 100
 Required probability = =
3600 16
115  40
 Thurs = = 46
13. Let number of Rs. 20 notes be x and Rs. 100
10 n notes by y 16. 8 km in the first quarter of an hour, 6 km
 20x + 10y = 230 ...(1) in the second quarter and 16 km in the
and x + y = 14 ...(2) third quarter.
Solving equation (1) and (2), we have
Total distance =30 km and total time
x = 9 and y = 5
=3/4. (1/4+1/4+1/4=3/4)
Hence numbers of 10-rupee notes are 5.
Average speed=Total distance*Total time
14. Let us categorize bags in three groups as
=30×(4/3)=40km/hr
A1 A2 A 3 B1 B2 B3 C 1 C2
1st weighing A vs B

56
www.gradeup.co

17. This is a arithmetic -geometric series . and 22. Speed of train=280/20=14m/s


the break down of each number in the Let L be the length of platform
sequence is as follows
280+L covered in 60s
91+1,92+3,93+5,......
(280+L)/14=60
For solving such progressions we need to
280+L=840
find
∑(GP+AP)∑(GP+AP) L = 840−280
=a(rn-1)/(r-1) + n(2*a+(n-1)*d)/2 L = 560m
=9(9 -1)/8 + n(2+2n-2)/2
n
23. For 2005, trade deficit = (90 – 70) crores
=9(9n-1)/8 + n2
= 20 crores
18. Roots of equation are of opposite sign then
Now,
for the equation, ax2+bx+c=0, product of
roots, c*a, should be (negative number) 1th 1
of export (70) crores = 14 crores
less than 0 5 5

p (p−1)3<0 ≠ 20 crores.
p(p−1)<0 Hence option (a) is wrong.
so p must be less than 1 and greater than 0 For 2004, Trade deficit = (80 – 70) crores
19. At a leap year
= 10 crores
52 weeks, and 2 extra day they are (Mon,
Now,
Tues) (Tues, Wed) (Wed Thu.) Thus. Fri)
(Fri. Sat) (Sat. Sun) (Sun Mond.) 1th 1
of export (70) crores = 14 crores
n(s) = 7. 5 5

2 ≠ 20 crores.
n(E) = 2 P(E) =
7
Hence option (b) is wrong.
20. Average only gives the mean value;
For 2007, Trade deficit=(120–110) crores
Standard Deviation gives how close to
= 10 crores
mean value (consistency) of a sample
population distribution. Now,
A standard deviation close to 0 means very 1th 1
of export (110) crores = 22 crores
close to mean value of a distribution. 5 5
Here K has the lowest SD (5.21) ≠ 10 crores.
21. A. A resident male with annual income Rs
Hence option (c) is wrong.
9 lakh - form P
For 2006, Trade deficit=(120–100) crores
B. A resident female with annual income
Rs 9 lakh - form T = 20 crores

C. A non-resident male with annual income Now,


Rs 16 lakh - form S 1th 1
of export (100) crores = 20 crores
D. A non-resident female with annual 5 5
income Rs 16 lakh - form S = Trade deficit.

57
www.gradeup.co

58
www.gradeup.co

24. We can also try it this way Item : 3


E1 = Event that we get Head as the 23  250  107
outcome 19  500  103
E2 = Event that we have the other side of 1.2 = Item 3
outcome as Tail Item : 6

Sample Space = 1,H),(1,H), (2,T), (2,T), 19


= 1.18 = Item 6
16
(3,H), (3,T)
Item : 5
E1=(1,H),(1,H),(3,H)
20 5
E2=(2,T),(2,T),(3,T) = = 1.6  1.6 = Item 5
12 3
E1∩E2=(3,H)
28. Let the capacity of tank be 1 litre.
6P(E1∩E2)=1/6 Draining rate = =0.5 litre30 minutes
P(E1)=3/6 = 160 litre/min
P(E2/E1)=P(E1∩E2)/P(E1) Let filling rate be litre/min
=(1/6)/(3/6)=1/3 In 1 min tank gets −(160)litre filled.
25. For a very large number of throws, the To fill the remaining half part we need 10
frequency should be same for an unbiased minutes
die. x −160 litre→1 min

But given frequencies are not same, hence .5 litre→10 mins

the die is biased. Hence, option B. 0.5(x−160)=10


Solving, we get x =460 which is 4 times
26. Eight consecutive odd number = 656
more than draining rate.
a – 6, a – 1, a – 2, a, a + 2, a + 4, a + 6
29. It is not mentioned that elephant is the
a + 8 = 656
largest animal.
a = 81
30. Speed of man = 8;
Smallest m = 75 ...(1)
Left distance = d
Average consecutive even numbers
d
Time take =
a−2+a+a+2+a+ 4 8
 = 87
4
Upstream : Speed of stream = s
 a = 86  speed upstream = S′ = (8 – s)
Second largest number = 88 ...(2)  d 
t =  
Adding equation (1) and (2), 8 − s
75 + 88 = 163 Downstream :
27. Item : 2 d
Given speed downstream = t′′ =
20 8+s
 250  107
100 3d d
20  3t = t  =
 500  103 8−s 8+s
100
3d d
 =  s = 4 km/hr
0.5 × 10 = 5 × 10 1 = Item 2
4 3
8−s 8+s

59
www.gradeup.co

15 Labour: 540000; Raw Material: 780000;


31. Total expenditure = x = 4,50,000
100 Energy: 900000; Machinery: 1080000;
x = 3 × 106 Trans: 360000; Total : 36,60,000
Profit = 10 lakhs Therefore, percentage increase in total cost
So, total selling price = 40,00,000 ...(1) is
Total purifies = 200 ...(2) {(Total cost(2013) – Total cost
S.P. of each purifier = (1)/(2) = 20,000 (2012)) /Total cost(2012) }* 100
32. Probability for one bulb to be non-defective = 22%
95 37. The digit in unit place is selected in 4! Ways
is
100
The digit in tens place is selected in 4! Ways
 Probabilities that none of the bulbs is
The digit in hundreds place is selected in 4!
4
 95  Ways
defectives   = 0.8145
 100  The digit in thousands place is selected 4!
m ways
1
33. P′ = 2nXn   ym = 2n–mXnYm = 2n–m P
2 The digit in ten thousands place is selected
34. Sum of first 5 numbers are 425 in 4! ways
Middle of the numbers are 425/5=85 Sum of all values for 1
So, first 5 numbers are 81,83,85,87,89 4! × 1 × (100 + 101 + 102 + 103 + 104)
Last 5 numbers of this sequence is =4! × 11111 × 1
95,97,99,101,103 Similarly for ‘3’ 4! × (11111) × 3
Summation of these are 495 Similarly for ‘5’ 4! × (11111) × 5
35. We knows total amount owed Similarly for ‘7’ 4! × (11111) × 7
(A) = P (1+r/100)n Similarly for ‘9’ 4! × (11111) × 9
and it is given that industrial power is  sum of all such numbers
doubled from 2000-2001 to 2010-2011. 4! × (11111) × (1 + 3 + 5 + 7 + 9) = 24
So, here n=10 and A = 2P × (11111) × 25 = 6666600
2P= =P(1+r/100)10 3
5 1/3 7 7 343
38. x  = x1/3    = x  x =
2=(1+r/100)10 7 3 5
  125
21/10=(1+r/100) 39.
1.07177=(1+r/100)
.07177=(r/100)
r=7.17≃7.2
36. Labour: 450000; Raw Material: 600000;
Energy: 750000; Machinery: 900000;
Trans: 300000; Total : 30,00,000
For 2013,
Number of faces per cube = 6

60
www.gradeup.co

total number of cubes = 9 × 3 = 27 a2+b2+c2≥ab+bc+ca


 Total number of faces = 27 × 6 = 162 Since, a2+b2+c2=1
 Total number of non visible faces (ab+bc+ca)≤1
= 162 – 54 = 108 Therefore, ab+bc+ca lies in the interval
Number ofs visible faces 54 1 [−1/2,1]
 = =
Number of non visible faces 108 2 43. Tiger – 1 leap  8 meter
12 Speed = 5 leap/hr = 40 m/min
40. For M  = 0.6
20
Deer → 1 leap = 5 meter
25
N = 0.55 speed = 4hr = 20 m/min
45
Let at time ‘t’ the tiger catches the deer.
45
O = 0.6  Distance travelled by deer + initial
75
distance between them
57
P = 0.57
100 50 × 8  400 m = distance covered by

For N is minimum. tiger.


41. P (Ram) = 1/6; p(Rames) = 1/8  40 × t = 400 + 20t
p(only at) = p(Ram) × p(not ramesh) 400
t= = 20 min
+ p(ramesh) × p(n0 × Ram) 200

7 1 5  total distance
=1 + + 
6 8 8 8 400 + 20 × t = 800 m
12 1 44. Both in and ex are monotonically
 =
40 4
(continuously) increasing functions. So, (i)
42. We know that and (ii) are TRUE.
(a+b+c) =a +b +c +2ab+2bc+2ca and
2 2 2 2
If we take .x=2,y=5;yx=25,xy=32. So, (iii)
(a+b+c)2≥0 for any real a,b,c is false cosx is not a monotonically
Given, a +b +c =1
2 2 2
increasing function. So, (iv) is false.
Therefore, 1+2(ab+bc+ca)≥0 1
45. Given q−a =
(ab+bc+ca)≥−1/2 r
Since, A.M.≥G.M. 1 1
 a
=
⟹(a+b)/2≥ab q r

⟹a+b≥2ab  qa = r ...(i)
Assume a=a2 and b=b2 1
r −b =
⟹ a +b ≥2ab ------(1)
2 2 s

similarly, 1 1
 =
b s
b2+c2≥2bc ------(2) r

a2+c2≥2ac ------(3)  s–c = rb ...(ii)

adding (1), (2) and (3) we get 1


s–c =
q

61
www.gradeup.co

1 1 49. Given Venn diagram is


 =
c q
s
 sc = q ...(iii)
From equation (i),
qa = r
(sc)a = r (from eq. (iii))
Sac = r
sac = (s)1/b (from eq. (ii))
sabc = s = s1
The number of students who like to read
 abc = 1
books or play sports
So the value of abc is 1
= 13 + 12 + 44 + 7 + 15 + 17 = 108
46. Q can finish 1 day’s 1 hour’s work
50.
1 1
= =
25  12 300
R can finish 1 day’s 1 hour’s work
1 1
= =
50  12 600
Now Q working hours = (7 – 2) × 12
= 60 hr
 R working hours = 7 × 18 = 126
After 7 days, the ratio of work done by Q
Mirror image of 1 : 20 is 10 : 30
and R is
10 : 30 was the two and quarter hour back
Q:R
so time now will be 12 : 45
60 126
: 51. Let two parts be x and y and part x is used
300 600
to make square and part y is used to make
Q : R = 120 : 126 = 20 : 21
rectangle.
47. (9 inches)1/2 = (0.25 yards)1/2,
x + y = 340
Solving we get 9 inch = 0.25 yards
If x part is used to make square, means it
(since 1 inch = 0.028 yard)
is the parameter of square and each side
48. M is twice as coefficient as E but worked
of square is x/4
for half as many days. So in this case they
Similarly, for rectangle 2∗(l+b)=y and l=2b
will do equal work if their shifts had same
2∗3b=y⇒b=y/6 and l=y/3
timings. But M’s shift is for 6 hours, while
(we know y=340−x)
E’s shift for 12 hours.
Question says the combined area of the
Hence, E will do twice the work as M.
square and the rectangle is a MINIMUM
Ratio of contribution of M : E in work is 1 : 2.

62
www.gradeup.co

A=(x/4)2+[(340−x)/6]∗[340−x/3] From the above diagram,


For combined area to be minimum Area =△ABC+□OBCE−△CDE
dA/dy=0 Area =(1/2×4×6)+(1×4)−(1/2×3/2×1)
⇒2x/16−2(340−x)/18=0 Area =12+4−3/4=16−0.75=15.25
⇒x=160 55. Interception at x−axis is ln x=0.1 and
But question asks for MINIMUM length of slope =−0.02
side of square that is x4=40mm Equation fo straight line is given by,
52. Let x and y be the speeds of the two y=mx+C

trains. According to the question,

• x=120/10=12m/s y=m(ln⁡ x)+C where m=−0.02

• y=150/15=10m /s y=−0.02(ln⁡ x)+C→(1)

So, magnitude of their difference in speeds Abscissa is known as intercept in x−axis

=∣12−10∣=2m/s i.e. for y=0,ln x=0.1

53. Odometer is a device used to measure From equation (1)

distance traveled by an object. 0=−0.02×0.1+C

Area under Velocity-Time graph represents C=0.002→(2)

the distance traveled. From equations (1) and (2),

So, answer =0.5+1+1.5+1+0.5+0.5=5m. y=−0.02(ln x)+0.002

54. 3x+2y=14→(1) At x=5,

2x−3y=5→(2) y=−0.02(ln 5)+0.002


y=−0.030
To get the intersection point, we solve the
56. Let the original water consumption be
equations and get x=4,y=1
X=Y+Z→(1), where Y is the household
We can draw the required area like this
consumption and Z is the other
consumption.
New water consumption = 0.75X
= 0.8Y + 1.7Z → (2)
(2)−0.75(1)
⟹0.05Y+0.95Z=0
which means either Y or Z must be
negative which is not possible as both of
them are consumption amount of water.
So, correct option is D. There are errors in
the official’s statement.
57. 40% of 3600=40/100×3600=1440
So, the number of degrees needed to
represent 40%in a pie chart is 144

63
www.gradeup.co

58. Length of a truck (including required gap) (1200M + 500W) * 14 = (900M +


=10 m + 20 m ⟹ 30 m 250W) * 21
Length of a car (including required gap) ⇒ (1200M + 500W) * 2=(900M+250W)*3

=5 m + 15 m ⟹ 20 m ⇒ 2400M + 1000W = 2700M + 750W

∴ one pair of truck and car needs +20m ⇒ 250W = 300M

= 50 m length ⇒ W = (6/5) * M ...... (i)

Let ’n’ be the number of repetition of one Now, substituting from (i), we have

pair of truck and car in 1 hour 900M + 250W = 900M + 250*(6/5)M =

Given speed =36 km/hr = 36000 m/hr 900M + 300M = 1200M ← can build the
bridge in 3 weeks i.e., 21 days.
[50m×n]/1 hr=36000m/hr
Therefore, again by using the Man-days
⟹n=3600050⟹720 pairs of vehicles
formula, we get
Total number of vehicles =720×2=1440
1200M * 21 days = [required no. of
vehicles
men] * 7 days
60. 1. indian&& (0 or 1 or 2 or 3) chinese
⇒ Required no. of men = 3600
= 3C1 × [3C0 + 3C1 + 3C2 + 3C3] = 3 × [8]
Thus, a total of 3600 men are required
2. indian&& (0 or 1 or 2 or 3) chinese
to build the same bridge in 1 week.
= 3C2 × [3C0 + 3C1 + 3C2 + 3C3] = 3 × [8]
64. The total number of three digit numbers is
3. indian&& (0 or 1 or 2 or 3) chinese
9×10×10 as the first place can be filled
= 3C3 × [3C0 + 3C1 + 3C2 + 3C3] = 1 × [8]
with 9 ways (1−9) and next two places can
Total sets = 8× (3+3+1) = 56
be filled with 10digits(0−9) in 10×10
61. Total no of students
ways.
=n(P)+n(C)+n(M)−n(P∩C)−n(P∩M)
Now the total number of three digit
−n(C∩M)+n(P∩C∩M number with 1 immediate right of 2 are
⟹500=329+295+186−217−83−63+x • (210−219)⟹10
⟹x=53. • _ 2 1⟹1⟹ this blank can be filled from
63. It is given that, 1−9so total 9 numbers here
1200M + 500W can build a bridge in 2 • Thus 10+9=19 numbers are having 1 to
weeks i.e., 2*7 = 14 days the immediate right of 2
And So, total number of three digit numbers
900M + 250W can build a bridge in 3 not having 1 to the immediate right of
weeks i.e., 3*7 = 21 days 2=900−19=881
Since the work done in both the cases are 65. The series 1, 1/4, 1/16, 1/64,… forms a
same i.e., both will build a bridge, geometric progression (GP). Since it is a
therefore, by using the Man-Days formula decreasing GP, the sum of infinite number

we can compare both the cases as, of terms in it can be determined.

64
www.gradeup.co

Let the sum be ‘S’. We thus have to Smallest possible value of x so that it sum
evaluate value of S. is divisible by 3 is 2 (24mod3=0)
S = 1+1/4+1/16+1/64+… (1) Hence option B is correct answer
S/4 = 1/4+1/16+1/64+… (2) 68. Alloy A is having Gold and Copper in the
Subtract (2) from (1) by shifting one term ratio 2:3
in (2) so that common terms in (1) and (2) Alloy B is having Gold and Copper in the
get cancelled. We get S - (S/4)=1 (as all ratio 3:7
common terms get cancelled.) Let's Assume we're taking 1kg of alloy A &
So, (3/4)S=1. 1kg of alloy B
So, S=4/3. ∴ in alloy A
66. As we know when light is falling top of an • Gold will be 2/5 kg
object it casts the shadow at behind of the • Copper will be 3/5 kg
object. In alloy B
• Gold will be 3/10 kg
• Copper will be 7/10 kg
∴ In alloy C Gold to Copper ratio will be
=[2/5+3/10]/[3/5+7/10]
=[7/10]/[13/10]=7/13=7:13
69. Let's assume the principal amount be P.
After getting an annual rate of interest as
Here, we can see from △ABE and △CDE.
10% which is compounded annually, 5
∠B=∠D &∠E=∠E
years later the amount should be
∴ By proportionality theorem,
10,00,000
ABCD=BEDE
So, P (1+10/100)5=10,00,000
Given, CD=1.5m, BD=3m, DE=3m
⟹P(11/10)5=106
∴ AB=?
⟹ P={106∗105} /(11)5=1011/115
∴ AB(1.5)=3+33
P=620921.323≈621000
AB=63×1.5
70. Probability that the cab is a green cab
AB=3m
=0.85
∴ Height of the Lamp post is 3m which is
Probability that the cab is a blue cab =0.15
option B
The witness can correctly identify the cab
67. Divisibility rule of 3:
colour only 80% of the time
A number is divisible by 3 if sum of all of
So, probability when the witness is correct
its digit is divisible by 3.
means when the witness identifies blue cab
Let the digit in thousandths place is x.
=0.8
7+1+5+x+4+2+3
& Probability when witness is wrong =0.2
=22 + x

65
www.gradeup.co

We know,P(E)=Number of favourable =0.5×0.6×X+0.5×0.6×X=0.6X


outcomes/Number of all possible outcomes Number of literates in 2001
The probability that the accident was =0.6×0.5×X+0.4×0.4×X=0.46X
caused by a blue cab So, percentage increase in the literates
= 0.15∗0.8/{(0.15∗0.8)+(0.85∗0.2)} =[{0.6X−0.46X}/0.46X]×100%=700/23
= 1.2/2.9 = 0.41 = 41% =30.43

 42 4 74. Let x2>x1


71. Time taken = 
4 + 2  hr = hours
  3

72. Given, P and Q started working from 8


A.M. for a client.
Total budget = USD 3000
P worked exactly 7 hours and took 30 min
break in between.
 ‘P’ worked number of hours = 6.5
hours
‘Q’ worked exactly 8 hours and took 20 Check

min break in between. Let x2 = 3

 ‘Q’ worked number of hours  7.67 hours X1 = 2


ex1+x2 = e5
Client amount paid by for both P and Q =
ex1 = e2
USD 200/hr
ex2 = e3.
 Total USD Paid = (6.5 × 200 + 7.67 × 200)
Then e5> e2 + e3. Which is true
= (1300 + 1534) = 2834
75. 60 units of min hand = 5 units of hour
Remaining amount left = 3000 – 2834
hand.
 166 (approx)
5  15
73. The graph is for illiterates. ∴ 15 units of min hand = units of
50
• Percentage of male literates in
hour hand
2011=100−40=60
= 1.25 units of hour hand
• Percentage of male literates in
60 units = 360°
2001=100−50=50
1.25 units = 6 × 1.25° = 7.5°.
• Percentage of female literates in
76.
2011=100−40=60
• Percentage of female literates in
2001=100−60=40
Let X be the total population (This remains
same in 2001 and 2011 as per question)
Number of literates in 2011

66
www.gradeup.co

a2 b2 =2r2.
r2 = +
4 4 area of circle = πr2.

b2 a2 ∴ Required area = (π – 2)r2


= r2 –
4 4 77. Ax2 – bx + c = 0

b =  4r2 – a2 b b
2 = = − − − − − −(1)
a 2a
b2 = 4r2 –a2
c
2 = − − − − − (ii)
Area A = ab = a 4r2 – a2 a
A2 = a2 (4r2 –a2 ) bc
(i)  (ii)given 3 =
dA2 2a2
4r2  2a – 4a3 = 0
da 78. No of students enrolled in P
4a (2r – a ) = 0
2 2
= 3 + 5 + 5 + 6 + 4 = 23
⇒ a = 2r
2 2
No of students enrolled in Q
∴ b = 4r – 2r
2 2 2
= 4 + 7 + 8 + 7 + 5 = 31
= 2r . 2
23 / 5 23
 ratio = = = 2.875
∴ area of rectangle = (r 2)2 (31 – 23)15 8

❖❖❖❖

67
www.gradeup.co

68
www.gradeup.co

1
www.gradeup.co

SYLLABUS

L inear Algebra: Vector space, basis, linear dependence and independence, matrix
algebra, eigenvalues and eigenvectors, rank, solution of linear equations- existence
and uniqueness.

Calculus: Mean value theorems, theorems of integral calculus, evaluation of definite and
improper integrals, partial derivatives, maxima and minima, multiple integrals, line, surface
and volume integrals, Taylor series.

Differential Equations: First order equations (linear and nonlinear), higher order linear
differential equations, Cauchy's and Euler's equations, methods of solution using variation
of parameters, complementary function and particular integral, partial differential
equations, variable separable method, initial and boundary value problems.

Vector Analysis: Vectors in plane and space, vector operations, gradient, divergence and
curl, Gauss's, Green's and Stokes’ theorems.

Complex Analysis: Analytic functions, Cauchy’s integral theorem, Cauchy’s integral


formula, sequences, series, convergence tests, Taylor and Laurent series, residue theorem.

Probability and Statistics: Mean, median, mode, standard deviation, combinatorial


probability, probability distributions, binomial distribution, Poisson distribution,
exponential distribution, normal distribution, joint and conditional probability.

2
www.gradeup.co

CONTENT

S.No. Chapter Page No.

1. Linear Algebra ……………………………………..……………………….…………………………………. 5-20

➢ Matrix Algebra, Vector, Space, Rank ……………………………..……………………………….…………… 6

➢ Solution of linear system of equations ………………….……………………...…………………................ 7


➢ Eigen Values and Eigen Vectors …………………………………………………………………………...…… 8
Answer ………………………………………………………………………………………………………………………. 10
Solution ……………………………………………………………………………………………………………………... 12-20

2. Calculus and Vector ……………………………………………………….……………………………..…. 22-45

➢ Limits, Continuity and Differentiability, Mean value Theorem …………………………………….. 23


➢ Definite and Improper Integral ………………............................................................................................. 24
➢ Maxima and Minima …………………………………………………………………………………………….……. 25

➢ Partial Derivative and Taylor Series……………………………………………………………………….….. 28


➢ Vector in plane and space, Vector operations ……………………………………………………………... 30
➢ Curl, Divergence, Gradient, Gaur’s Green’s and Stoke’s Theorem………………………………..…. 30

Answer ………………………………………………………………………………………………………………………. 31
Solution ……………………………………………………………………………………………………………………... 33-45

3. Differential Equations ………………………………………….…………………………………………. 46-55

➢ Type and Order, Variation of Parameter, Variable Separation ……..…………………….….……… 47


➢ Complementary Function and Particular Integral …………………...................................................... 48
➢ Initial and Boundary Conditions …………………………………………………………………….…………… 49

Answer ………………………………………………………………………………………………………………………. 50
Solution ………………………………………………………………………………………………………………….….. 51-55

3
www.gradeup.co

S.No. Chapter Page No.

4. Complex Analysis ……………………………………………………………………………………..……. 59-66

➢ Analytic Function …..………………………………………………………………………………………..……..…. 60


➢ Counchy’s Integral Formula and Residue Theorem ............................................................................. 60
Answer …………………………………………………………………………………………………………………..….. 62

Solution …………………………………………………………………………………………………………………….. 63-66

5. Numerical Methods .……………………………………………………………………………………. 68-73

➢ Solution of Non- linear Equations …...………………………………………………………….……………… 69


➢ Single & Multi-Step Method for Different Equation ….………….......................................................... 69
Answer ………………………………………………………………………………………………………………………. 70
Solution ………………………………………………………………………………………………………………….... 71-73

6. Probability and Statistics ……………………………………………………………………………. 75-81

Answer ………………………………………………………………………………………………………………………. 78

Solution ………………………………………………………………………………………………………….………... 78-81

4
1
www.gradeup.co

Linear Algebra

Analysis of Previous GATE Papers

2017 Set 1

2017 Set 2

2016 Set 1

2016 Set 2

2016 Set 3

2015 Set 1

2015 Set 2

2015 Set 3
2020

2019

2018
Year →
Topic
Topic ↓

MCQ Type 1 1 1
1 Mark
Numerical Type 1 1
Matrix Algebra, Vector,
MCQ Type
Space, Rank : 2 Marks
Numerical Type 1
Total 1 1 3 1 1
MCQ Type
1 Mark
Numerical Type
Solution of Linear
MCQ Type 1
System of Equations 2 Marks
Numerical Type
Total 2
MCQ Type 1 1 1 1
1 Mark
Numerical Type 1 1 1
Eigen Values and
MCQ Type
Eigen Vectors 2 Marks
Numerical Type 1
Total 1 1 2 2 1 1 1

5
www.gradeup.co

MATRIX ALGEBRA, VECTOR, SPACE, RANK: vector space spanned by the 2 M vectors
X1,X2,...XM,–X1,–X2,...–XM is
1 
2 − 0.1 2 a  . A. 2M
1. Let, A=   and A –1
=
 0 3   
0 b  B. M + 1

Then (a +b) = C. M

7 3 D. dependent on the choice of X1,X2,....,XM


A. B.
20 20 [2007: 2 Marks]
19 11  −5 −3 1 0
C. D. 5. Given that A =   and I =   , th
60 20 2 0 0 1
[2005: 2 Marks] value of A8 is
2. Given an orthogonal matrix
A. 15A + 12I
1 1 1 1  B. 19A + 30I
 
1 1 −1 −1
A= [AA ]T –1
is C. 17A + 12I
1 −1 0 0 
  D. 17A + 21I
0 0 1 −1
[2011: 2 Marks]
1  1 
4 0 0 0 2 0 0 0
6. Let A an m × n matrix and B an n × m
   
0 1
0 0  0 1 0 0  matrix. It is given that determinant
 4  2
A.   B.   (Im + AB) = determinant (In + BA), where
0 1 0 0 1
0 0 0
 2   2  Ik is the k × k identity matrix. Using the
   
0 1 0 0 0 1
0 0 above property, the determinant of the
 2   2 
matrix given below is
1 
4 0 0 0 2 1 1 1
   
1 0 0 0 0 1 1 2 1 1
  0 0  1
0 1 0 0  4 1 2 1
C.  D.    
0 0 1 0 0 1 1 1 1 2
  0 0
0 0 0 −1  4 
  A. 2 B. 15
0 1
0 0
 4  C. 8 D. 16

[2006: 1 Mark] [2013: 2 Marks]

1 1 1  7. For matrices of same dimension M, N and


3. The rank of the matrix 1 −1 0 is scalar c which one of these properties
1 1 1 
DOES NOT ALWAYS hold?
A. 0 B. 1 A. (MT)T = M
C. 2 D. 3 B. (cM)T = c(M)T
[2006: 1 Mark] C. (M + N)T = MT + NT
4. It is given that X1,X2,...XM are M non-zero D. MN = NM
orthogonal vectors. The dimension of the
[2014: 1 Mark,Set-1]

6
www.gradeup.co

8. Consider the matrix 2 10 10


0 0 0 0 0 1 14. The rank of the matrix M = 1 0 2  is
  3 6 6 
0 0 0 0 1 0
0 0 0 1 0 0
JY =   A. 0 B. 1
0 0 1 0 0 0
C. 2 D. 3
0 1 0 0 0 0
  [2017: 1 Mark,Set-1]
1 0 0 0 0 0 
15. The rank of the matrix
which is obtained by reversing the order of
the columns of the identity matrix I 6. Let P  1 −1 0 0 0 
 
= I6 + αJ6, where α is a non-negativer real  0 0 1 −1 0 
 0 1 −1 0 0  is_____.
number. The value of a for which det(P) =  
 −1 0 0 0 1 
0 is______.  0 0 0 1 −1
[2014: 2 Marks,Set-1]
[2017: 1 Mark,Set-2]
9. The determinant of matrix A is 5 and the
determinant of matrix B is 40. The SOLUTION OF LINEAR SYSTEM OF
determinant of matrix AB is_____. EQUATIONS
[2014: 2 Marks,Set-2]
10. The maximum value of the determinant 16. The eigenvalues and the corresponding
among all 2×2 real symmetric matrices eigenvectors of a 2×2 matrix are given by
with trace 14 is _____. Eigenvalue Eigenvector
[2014: 2 Marks,Set-2] 1
λ1=8 v1 =  
 1 tan x  1
11. For A =   , the determinant of
 − tan x 1 
 1
λ2=4 v2 =  
ATA–1 is  −1
A. sec2x B. cos4x
The matrix i;
C. 1 D. 0
6 2  4 6 
[2015: 1 Mark,Set-3] A.   B.  
2 6  6 4 
12. Let M4 = I, (where I denotes the identity
2 4  4 8 
matrix) and M≠ I, M2 ≠ I and M3 ≠ I. Then, C.   D.  
4 2  8 4 
for any natural number k, M–1 equals:
A. M4k+1 B. M4k+2 [2006: 2 Marks]

C. M4k+3 D. M4k 17. The system of linear equations


[2016: 1 Marks,Set-1] 4x + 2y = 7
a 0 3 7 2x + y = 6
 
2 5 1 3 has
13. The matrix A= has
0 0 2 4 A. a u solution.
 
0 0 0 b
B. no solution.
det(A)=100 and trace [(A) = 14. The value C. an infinite number solutions.
of |a – b|] D. exactly two distinct solutions.
[2016: 2 Marks,Set-2]
[2008: 1 Mark]

7
www.gradeup.co

18. The system of equations


x+y+z=6
x + 4y + 6z = 20
x + 4y λz = μ
has NO solution for values of λ and μ given
by
A. λ = 6, μ = 20 B. λ –6, μ ≠ 20 [2020: 2 Marks]
C. λ ≠6, μ = 20 D. λ ≠6, μ ≠ 20
EIGEN VALUES & EIGEN VECTORS
19. The system of linear equations
2 1 3 a 5  23. the eigen values of the matrix
      has
3 0 1 b =  −4 2 −1 0 0
1 2 5 c  14  
0 3 0 0
are
A. a unique solution 0 0 −2 0
 
B. infinitely many solutions 0 0 −1 4

C. no solution A. 2, –2, 1, –1 B. ,2, 3, –2, 4


D. exactly two solutions C. 2, 3, 1, 4 D. None
[2014: 2 Marks,Set-2] [2000: 1 Mark]
20. Consider a system of linear equations-  −4 2
24. Given the matrix   , the eigenvector
x – y + 3z = –1,  4 3
x – 3y + 4z = 1, and is
– 2z + 4y – 6z = k 3  4
A.   B.  
The value of k for which the system has 2  3 
infinitely many solution is _____.  2  −1 
C.   D.  
k 2k   −1   2
21. Consider matrix A= 2 2
and
k −k k  [2005: 2 Marks]

x  4 2 
vector X =  1  . The number of distinct real 25. For the matrix   the eigenvalue
x2  2 4 

values of k for which the equation AX = 101


corresponding to the eigenvector   is
has infinitely many solutions is____. 101

22. Consider the following system of linear A. 2 B. 4

equation C. 6 D. 8
[2006: 2 Marks]
26. All the four entries of the 2×2 matrix
P P 
P =  11 12  arenonzero, and one of its
Which one of the following conditions P21 P22 

ensures that a solution exists for the above eigenvalue is zero. Which of the following

system? statements is true?

8
www.gradeup.co

A. P11P22 – P12P21 = 1 B. If A is real symmetric, the eigenvalues


B. P11P22 – P12P21 = –1 of A always real and positive
C. P11P22 – P12P21 = 0 C. If A is real, the eigenvalues of A and AT
D. P11P22 + P12P21 = 0 are always the same

[2008: 1 Mark] D. If all the principal minors of A are

27. The eigen values of the following matrix positive, all the eigenvalues of A are also

 −1 3 5 positive
are  −3 −1 6 [2014: 2 Marks,Set-3]
 0 0 3 1 
32. The value of p such that the vector 2  is
A. 3, 3 + 5j, 6 – j
3 
B. –6 + 5j, 3 + j, 3 – j
C. 3 + j, 3 – j, 5 + j 4 1 2
an eigenvector of the matrix  p 2 1 
D. 3, – 1 + 3j ,– 1 – 3j
14 −4 10
[2009: 2 Marks]
is
28. The eigen values of a skew-symmetric
[2015: 1 Mark,Set-1]
matrix are
33. The value of x for which all the the eigen-
A. always zero
values of the matrix given below are real
B. always pure imaginary
is_____.
C. either zero or pure imaginary
10 5 + j 4 
D. always real  
x 20 2 
[2010: 1 Mark]  4 2 −10
29. The minimum eigen value of the following A. 5 + j B. 5 – j
3 5 2 C. 1 – 5j D. 1 + 5j
matrix is 5 12 7
[2015: 1 Mark,Set-2]
2 7 5
34. A sequence x[n] is specified as
A. 0 B. 1
 x[n]  1 1  1 
C. 2 D. 3  =    , for n ≥ 2.
x(n − 1) 1 0 0
[2013: 1 Mark] The initial conditions are x[0] = 1, x[1] =
30. A real (4×4) matrix A satisfies the 1, and x[n] = 0 for n <0. The value of
equation A2 = I, where I is the (4×4) x[12] is______.
identity matrix. The positive eigen value of [2016: 2 Marks,Set-1]
A is _____. 35. The value of x for which the matrix
[2014: 1 Mark,Set-1] 3 2 4 
 
31. Which one of the following statements is A=9 7 13  has zero as an eigen
 −6 −4 −9 + x 
NOT true for a square matrix A?
A. If A is upper triangular, the eigenvalues value is ____.

of A are the diagonal elements of it [2017: 1 Mark,Set-1]

9
www.gradeup.co

36. Consider a 2×2 square matrix C. 15 D. 25


 x [2017: 1 Mark,Set-1]
A= 
   38. Let M be a real 4 × 4 matrix. Consider the
where x is unknown. If the eigenvalues of following statements:
the matrix A are (σ+jω) and (σ–jω), then x S1: M has 4 linearly independent
is equal to eigenvectors.
A. +jω S2: M has 4 distinct eignvalues.
B. –yω S3: M is non–singular (invertible).
C. +ω Which one among the following is TRUE?
D. –ω A. S1 implies S2
[2016: 1 Mark,Set-3] B. S1 implies S3
37. Consider the 5×5 matrix C. S2 implies S1
1 2 3 4 5 D. S3 implies S2
 
5 1 2 3 4
[2018: 1 Mark]
A = 4 5 1 2 3
  39. The number of distinct eigen values of the
3 4 5 1 2
2 3 4 5 1  2 2 3 3
 
0 1 1 1
It is given that A has only one real matrix A =  is equal to___.
0 0 3 3
eigenvalue. Then the real eigenvalue of A  
0 0 0 2
is
[2019: 1 Mark]
A. –2.5 B. 0

ANSWER

1. A 2. C 3. C 4. C 5. B 6. B 7. D 8. 1 9. 200 10. 49

11. C 12. C 13. 3 14. C 15. 4 16. A 17. B 18. B 19. B 20. 2

21. 2 22. C 23. B 24. A 25. C 26. C 27. D 28. C 29. A 30. 1

31. B 32. 17 33. B 34. 233 35. 1 36. D 37. C 38. C 39. 3

10
www.gradeup.co

11
www.gradeup.co

SOLUTION

1  1 1 
2 −0.1 −1  2 a Now   = −1 − 1 = −2  0
1. A=  and A = 1 −1
0 3   
 o b
Hence rank of above matrix is ‘2’
Now A.A–1 = I
4. There are M non-zero, orthogonal vectors,
1 
2 −0.1  a 1 0 so there is required M dimension to
  2 =
0 3   0 1
 0 b  represent them.
5. Characteristic equation of A is
 1 
 2   2a − 0.1b 1 0
  2 |A –λI| = 0
 = 0 1
 0 3b   
−5 −  −3
or, =0
1 2a − 0.1b  1 0 2 0−
 = 
0 3b  0 1  or, (5 +λ)λ + 6 = 0
1 or, λ2 +5λ + 6 = 0
 2a –0.1b = 0and 3b =  b =
3 Now, CAYLEY-HAMILTON Theorem states
1  0.1  0.1 that every square matrix satisfies its own
 2a − 0.1   = 0  2a =   a =
3  3  6
characteristic equation i.e. if the
1 1 1
1 characteristic equation for the nth order
 
1 1 −1
2. A= square matrix A is,
1 −1 0
  |A–λI|=(–1)nλn+k1λn–1+....+kn= 0 then,
0 0 −1
(–1)nAn+k1An–1+.....+kn= 0
Here A is orthogonal matrix.1
Then, by using this theorem to equation
 A.AT = I.
(1), we get A2+5A + 6I= 0
1 ) 0 0
  or A2=–5A–61
0 . 0 0
 A.A T = ) =I Multiplying by A to equation (2) both sides,
0 1 0
0 ) 0 1  we get
 
A3 = 5A2–6I
Now inverse of identify matrix is identify
or A3 = –5[–5A–6I]–6A = 19A +30I
matrix
A3 = 19A + 30I
 option (c) is correct
6. Given A: m × n matrix
1 1 1
3.   B: n × m matrix
A = 1 −1 0
1 1 1 det (Im + AB) = det (In + BA)

|A|=1(-1)–1(1)+1(1+1)=–1–1+2=0 IK: K × K identify matrix

Hence Lane is less than 3

12
www.gradeup.co

To find:  1 
  
2 1 1 1 1
 ( I4 + A 41B1 4 ) = det  I1 + 1111   
   1 
1 2 1 1 
det  = det(M)   
1 1 2 1  1 
 
1 1 1 2 = det (1 + 4) { I1 = 1}
Analysis. We will break matrix m to match = det (5) = 5
(Im + AB) { determinant of a scalar is the same
Plan scalar}
1. As per analysis part we will break matrix 7. Matrix multiplication is not commutative is
m into sum of Im and AB
general.
2. Then use det (Im + AB) = det (Im + BA)
0 0 0 0 0 1
Carrying out plan  
0 0 0 0 1 0
2 1 1 1 1 0 0 0 1 1 1 1 0 0 0 1 0 0
      8. J6 =  
1 2 1 1 0
=
1 0 0 1
+
1 1 1
0 0 1 0 0 0
1 1 2 1 0 0 1 0 1 1 1 1 0 1 0 0 0 0
       
1 1 1 2 0 0 0 1  1 1 1 1 1 0 0 0 0 0
Now we will break second matrix in RHS of
1 0 0 0 0 0
above as follows  
0 1 0 0 0 0
1 1 1 1 1 0 0 1 0 0 0
    I6 =  
1 1 1 1 1
= 1 1 1 1 0 0 0 1 0 0
1 1 1 1 1  0 0 0 0 1 0
     
1 1 1 1 1 0 0 0 0 0 1 
Using (2) into (1), we get Now P = I8 + α J8
2 1 1 1 1 1 0 0 0 0 0  0 0 0 0 0 
       
1 2 1 1 1
= I4 +   1 1 1 1 0 1 0 0 0 0  0 0 0 0  0
1 1 2 1 1 0 0 1 0 0 0  0 0 0  0 0
    = + 
1 1 1 2 1 0 0 0 1 0 0  0 0  0 0 0
0 0 0 0 1 0  0  0 0 0 0
1    
  0 0 0 0 0 1   0 0 0 0 0 
1
Let A =   and B = 1 1 1 114
1 1 0 0 0 0 
   
1
0 1 0 0  0
2 1 1 1 0 0 1  0 0
P =  
 
1 2 1 1 m=4 0 0  1 0 0
 = I4 + A 41B1 4  0
1 1 2 1 n=1  0 0 1 0
   
1 1 1 2  0 0 0 0 1 

But we are given that det (Im + AB) = det 1 0 0  0 0 1 0 0 


(In + BA) 0 1  0 0 0 0 1  0
| P |= 1 0  1 0 0 − 0 0  1 0
 0 0 1 0 0  0 0 1
0 0 0 0 1  0 0 0 0

13
www.gradeup.co

1  0 0 0 1  0 12. Given, M4 = I
 1 0 0 0  1 0  M8= M4=I
= +
0 0 1 0 0 0 0 1
 M7=M–1
0 0 0 1  0 0 0
 M12=M8=I
1 0 0  0 0 0 1 0 0  0
 M11=M–1
= 0 1 0 −  0 1 0 −  0 0 1 + 2 0 0 1
0 0 1 0 0 1  0 0  0 0  M16=M12=I

|P|=1(1)–α(α)–α((–1)(–α))+α2[(–α)(–α)]=0  M15=M–1

 1–α2–α2+α4=0 .................

α4–2α2+1=0  M-1=M4K+3
(α2–1)2=0 where k is a natural number.

α2=1 13. Trace of A=14

= 1 a+5+2 b=14

α is non-negative rea (Taking the diagonal element and then

 α=1 adding)

9. |AB|=|A|.|B|=(5).(40)=200 a + b=7

10. General 2×2 real symmetric matrix is det(A)=100

y x  a 3 7
  5 0 2 4 = 100
x z 
0 0 b
 det = yz–x2
5×2×a×b=100
and trace is y + z = 14 (given)
10ab=100
 z = 14–y
ab=10
Let f=yz–x2(det)
From equation (i) and (ii)
=–x2–y+14y(u sin g*)
either a=5,b=2
Using maxima and minima of a function of
a=2 b=5
two variables, we have f is maximum at
x=0, y=7 and therefore, maximum value |a–b|=|5–2|=3

of the determinant is 49 5 10 10


14. M = 1 0 2 
 1 tan x 
11. A =   3 6 6 
 − tan x 1 
Now applying, R1  R2 in above matrix we
T  1 − tan x 
A = 
tan x 1  get
1 0 2 
1  
Now A −1 = (adj(A))
|A| 5 10 10
3 6 6 
1  1 − tan x 
A=   Applying R2  R2 –5R1 and R3  –3R1, we
2
sec x tan x 1 
get
|AT.A–1|=1

14
www.gradeup.co

1 0 2  1 −1 0 0 0 
   
0 10 0 0 1 −1 0 0 
0 6 0 A = 0 0 1 −1 0 
 
0 0 0 −1 1 
6 0 0 0 1 −1
Now applying, R3  R3– R2, we get
10
R5 → R5+R4
1 0 2 
  1 −1 0 0 0
0 10 0  
0 0 0 0 1 −1 0 0
A = 0 0 1 −1 0
 
The above matrix is in Echelon form Rank 0 0 0 −1 1
0 0 0 0 0
of matrix M is given by
6 2 
ρ(M)=2 16. For matrix, A =  
2 6 
 1 −1 0 0 0  We know |λI–A|=0
 
 0 0 1 −1 0   − 6 −2
15. A =  0 1 −1 0 0   =0
  −2  − 6
 −1 0 0 0 1 
 0 0 0 1 −1  λ2–12λ+32=0,
 λ=4,8 (Eigen values)
R4 → R4+R1
For λ1=4,
 1 −1 0 0 0 
   −2 −2 1
 0 0 1 −1 0  (λ1I–A) =   , v1 =  
A =  0 1 −1 0 0   −2 −2 1
 
 −1 0 0 0 1  For λ2=8
 0 0 0 1 −1
 −2 −2 1 
(λ2I–A) =   , v2 =  
 −2 −2   −1
Applying R2  R3
17. Writing equations in matrix form,
1 −1 0 0 0 
  4 2 x  7 
0 1 −1 0 0     =  
A = 0 0 1 −1 0  2 1 y  6 
 
0 −1 0 0 1  4 2
Where [A] = 
7 
0 0 0 1 −1  ,B =  
2 1  6 

R4 → R4+R2 The augmented matrix is [A|B] given as


4 2 7 
1 −1 0 0 0   
  2 1 6
0 1 −1 0 0 
A = 0 0 1 −1 0  R1
  Using R2 −
0 −1 0 0 1  2
0 0 0 1 −1
4 2 7 
We have  
R4 → R4+R3 0 0 5 2 
 

15
www.gradeup.co

Now, rank of [A|B] = 2 and rank of [A] = 1  1 −2 3 −1


 
Since, Rank [A|B]≠ Rank [A], no solution (A / B) =  1 −3 4 1 
 −2 4 −6 k 
exists
18. Given equations are Now applying row reduction techinque

x+y+z=6 R2 → R2–R1

x + 4y + 6z = 20 R2 → R3+2R1

and x + 4Y + λz = μ We get

If λ = 6 and μ = 20, then 1 −2 3 −1 


 
x + 4y + 6z = 20 0 −1 1 2 
0 0 0 k − 2
x + 4y + 6z = 20
If λ = 6 and μ ≠ 20, then For infinite solution

x + 4y + 6z = 20 ρ(A/B)=ρ(A)=r
 k–2 = 0
(μ≠20) no solution
21. AX = 0 has infinitely many solutions
If λ≠6 and μ = 20
(given)
x + 4y + 6z = 20 will have solution
 |A|=0
x + 4y + λz = 20
λ ≠6 and μ ≠20 will also give solution k 2k
 =0
2
k − k k2

2 1 3 5   k(k2) – 2k(k2 – k) = 0
A 
19.   = 3 0 1 −4  k3 – 2k3 + 2k2 = 0  k2(2 – k) = 0
B  
1 2 5 14   k = 0, 2
2 1 3  5   “two” distinct values of k
  
R2 → 2R2 − 3R1 0 −3 −7 −23 R3 +R 2 22.
R3 → 2R3 − R1 0 3 7  23 

2 1 3  5 
  
0 −3 −7 −23
0 0 0  0  3X1 + 7X2 = b3 …(i)

A 3X1 + 9X2 = b4 …(ii)


Since, rank (A)= rank   < number of
B In eqn. (i) we can write as

unknowns 3X1 + 6X2 + X2 = b3

 Equations have infinitely many 3b1 + X2 = b3

solutions. X2 = b3 – 3b1

20. x – 2y + 3z = – 1 and in eqn. (ii)

x – 3y + 4z = 1 3X1 + 6X2 + 3X2 = b4

–2x + 4y – 6z = k 3b1 + 3[b3 – 3b1) = b4


–6b1 + 3b3 – b4 = 0
Augmented matrix (A/B) is given by
6b1 – 3b3 + b4 = 0

16
www.gradeup.co

17
www.gradeup.co

23. (λ–2)(λ+2)(λ–4)(λ–3)=0 29. |A|=3[60–49]–5[25–14]+2[35–24]=0


λ=2,3, –2,4 |A|=a1×a2×a3=0
 −4 2
24. A =   which, implies either of the above eigen
 4 3
values equal to zero. It may be one or two
first are have to find eigen value of matrix
negative eigen values.
|A–λI|=0
−4 −  2 30. A real (4×4) matrix A satisfies the
=0
4 3− equation A2 = I where I is the (4×4)
 (–4–λ)(3–λ)–8=0 identity matrix. The positive eigen value of
 –12+ 4λ –3λ + I2–λ8=0
A is 1.
 λ2+λ–20=0  λ2+ 5λ –4λ –20=0 
31. Consider,
(λ+5)–4(λ+5)=20
0.1 1 0.1 + 2  −1 1 
 λ=4 or λ = –5 = + = A  which is real symmetric matrix
6 3 6  1 −1

21 7 Characteristic equation is
= =
6 20
|A–λ|=0
 option (a) is correct
 (1+λ)2–1=0
4 2 
25. Given, Matrix M =  
2 4   λ+1=  1

4 −  2   λ=0,–2(not positive)
 M − I =  
 2 4 −  (b) is not true
101 (a), (c), (d) are true using properties of
For the eigen vector  
101
eigen values
(4–λ)(101)+2(101)=0
32. AX =λX
 4– λ + 2 = 0
λ = 6 (eigen value) 4 1 2 1 
   
  P 2 1  =  2
26. P–λI =0
14 −4 10 3
For λ=0, P = 0
P11 P12  12  1 
  =0    
 P + 7 = 2 
P21 P22 
36  3 
 P11P22 – P12P21 =0
27. Option D is the correct answer.  λ=12 ...(1)

28. Skew symmetric matrix 2λ=P+7 ...(2)


A= –A T
and 3λ=36
Since magnitude of diagonal element is
i.e.,λ=12
same only sign is changed, so eigen value
 Equation (2) gives P+7 =24  P=17
must be either zero or pure imaginary to
satisfy this relation.

18
www.gradeup.co

10 5 + J 4  35. From the given question,


33. Let A =  x 20

2  A has an eigen value is zero |A|=0
 4 2 −10
3 2 4 
Given that all eigen values of A are real  
9 7 13  = 0
 −6 −4 −9 + x 
( )
T
 A is Hermitical  Aθ=A i.e. A =A
3(–63 + 7 + 52)–2(–81 + 9x + 78)+4(–63
 10 x 4  10 5 + J 4 
    + 42) = 0
5 − j 20 2  =  x 20 2 
 4 3(7x – 11)–2(9x – 3) + 4(6) = 0
2 10  4 2 −10
 
21x – 33 – 18x + 6 + 24 = 0
34. Given sequence x[n] is given by
3x – 3 = 0
n
x(n)  1 1 1 
 =    ,n  2 x=1
x(n − 1) 1 0 0
36. From the given square matrix
Put n = 2, we get
2 det(A) = 2 − x
x(2) 1 1 1  2 1 1  2
 =    =   =   ( + j)( − j) = 2 − x
x(1)  1 0 0 1 1 0 1 
x(2) = 2
()2 − (j)2 = 2 − x
x(1) = 1
n=3 2 − (j2 )(2 ) = 2 − x
3
x(3) 1 1 1  3 2 1  3 2 + 2 = 2 − x (sincej2=–1)
 =    =   =  
z(2)  1 0 0 2 1 0 2
2 = −x  x = −
x(3)=3
37. |A–λ|=0
x(2)=2
From the above values we can write the 1 2 3 4 5 1 0 0 0 0
   
recursive relation is as 5 1 2 3 4 0 1 0 0 0
 4 5 1 2 3  −  0 0 1 0 0 = 0
x(n)=x(n–1)+x(n–2)    
3 4 5 1 2 0 0 0 1 0
x(2)=x(1)+x(0)=1 + 1 = 2 2 3 4 5 1  0 0 0 0 1 
x(3)=x(2)+x(1)=2 + 1 = 3
x(4) = x(3)+x(2)=3 + 2 + = 5 1 −  2 3 4 5 
 
x(5) = x(4)+x(3)= 5 + 3 = 8  5 1− 2 3 4 
 4 5 1− 2 3 =0
x(6) = x(5)+x(4)=8 + 5 = 13  
 3 4 5 1− 2 
x(7) = x(6)+x(5)=13 + 8 = 21  2 3 4 5 1 −  
x(8) = x(7)+x(6)=21 + 13 = 34
Sum of all elements in any one row must
x(9) = x(8)+x(7)=34 + 21 = 55
x(10) = x(9)+x(8)=55 + 34 = 89 be zero, i.e.,

x(11) = x(10)+x(9)=89 + 55 = 144 15 – λ = 0, λ=15


x(12) = x(11)+x(10) =144 + 89 = 233 38. Eigen vectors corresponding to distinct
So, the required value of x(12) is 233. eigen value are linearly independent.

19
www.gradeup.co

39. Given, → Upper triangular matrix


2 2 3 3  Eigen values of A are λ = 2, 1, 3,
 
0 1 1 1 2[diagonal elements]
Matrix A = 
0 0 3 3  The number of distinct eigen values of
 
0 0 0 2
the matrix = 3. i.e. 1, 2, 3.

❖❖❖❖

20
www.gradeup.co

21
2
Calculus and Vector
www.gradeup.co

Analysis

Analysis of Previous GATE Papers

2017 Set 1

2017 Set 2

2016 Set 1

2016 Set 2

2016 Set 3

2015 Set 1

2015 Set 2

2015 Set 3
2020

2019

2018
Year →
Topic
Topic ↓

MCQ Type 1 1 1
1 Mark
Numerical Type
Limits, Continuity and
Differentiability, MCQ Type 1
Mean value Theorem : 2 Marks
Numerical Type
Total 2 1 1 1
MCQ Type
1 Mark
Numerical Type 1 1
Definite and
MCQ Type 1 1
Improper Integral 2 Marks
Numerical Type 2 2
Total 3 4 2 4 1
MCQ Type 1
1 Mark
Numerical Type
Maxima and Minima MCQ Type 1
2 Marks
Numerical Type 1 1
Total 2 1 4
MCQ Type 1
1 Mark
Numerical Type
Partial Derivative and
MCQ Type
Tayor Series : 2 Marks
Numerical Type
Total 1
MCQ Type
1 Mark
Numerical Type 1
Vector in Plane and
MCQ Type 1
Space, Vector Operations 2 Marks
Numerical Type 1
Total 2 1 2
MCQ Type
1 Mark
Numerical Type 1
Curl, Divergence, Gradient,
Gaur’s Green’s and MCQ Type
Stoke’s Theorem 2 Marks
Numerical Type
Total 1

22
www.gradeup.co

LIMITS, CONTINUITY 


sin  
&DIFFERENTIABILITY MEAN VALUE 3. lim  2  is
→0
THEOREM 
A. 0.5 B. 1
1. The derivative of the symmetric function
C. 2 D. not defined
drawn in given figure will look like
[2007 : 1 Mark]
x
 1
4. The value of lim
x →  1 + is
 x 

A. ln2 B. 1.0
C. e D. 
[2014 : 1 Mark,Set-3]
5. A function f(x) = 1 –x2+x3 is defined in the
closed interval [–1, 1]. The value of x in
the open interval (–1, 1) for which the
mean value theorem is satisfied, is
1 1
A. − B. −
2 3
1 1
C. D.
2 3
[2015 : 1 Mark,Set-1]
6. Given the following statements about a
function
f: R → R, select the right option
P: If f(x) is continuous at x = x0, then it is
Q: If f(x) is continuous at x = x0, then it
may not be differentiable at x = x0.
R: If f(x) is differentiable at x = x0, then it
is also continuous at x = x0.
[2005 : 2 Marks]
A. P is true, Q is false, R is false
2. For |x|<< 1, cot h(x) can be approximated
B. P is false, Q is true, P is true
as
C. P is false, Q is true, P is false
A. x
D. P is true, Q is false, P is true
B. x2
[2016 : 1 Mark,Set-1]
1
C. 7. Consider the following statements about
x
1 the linear dependence of the real valued
D.
x2 functions y1 = 1, y2 = x and y3 = x2, over
[2007 : 1 Mark] the field of real numbers.

23
www.gradeup.co

I. y1, y2 and y3 are linearly independent on 4 8


C. D.
–1 ≤x≤ 0. 3 3

II. y1, y2 and y3 are linearly independent [2006 : 2 Marks]

on –1 ≤x≤ 0. 11. The following plot shows a function y which

III. y1, y2 and y3 are linearly independent varies linearly with x. The value of the
2
on 1 ≤x≤ 0.
IV. y1, y2 and y3 are linearly independent
integral I =  ydx is
1

on –1 ≤x≤ 0.
Which one among the following is correct?
A. Both I and II are true
B. Both I and III are true
C. Both II and IV are true
D. Both III and IV are true
[2019 : 2 Marks]
8. Consider a differentiable function f(x) on
the set real numbers such that f(–1) = 0
A. 0.5 B. 2.5
and |f’(x)|≤2. Given these conditions,
C. 4.0 D. 5.0
which one of the following inequalities is
[2007 : 1 Mark]
necessarily true for all x  [−2,2] ?
12. The value of the integral of the function
A. f(x)≤ 2 |x + 1| B. f(x)≤ 2 |x|
g(x, y) = 4x3 + 10y4 along the straight line
1 1
C. f(x)≤ |x + 1| D. f(x)≤ |x| in the x-y plane is
2 2
[2019 : 2 Marks] A. 33 B. 35
C. 40 D. 56
LIMITS, CONTINUITY & DIFFE- [2008 : 2 Marks]
RENTIABILITY MEAN VALUE THEOREM
13. Consider points P and Q in the x-y plane,
9. The value of the integral with P = (1, 0) and Q = (0, 1). The line
 2
1  x2 
I=  exp   dx is integral 2 (xdx + ydy) along the semicircle
2 0  8 
  1

A. 1 B.  with the line segment PQ as its diameter


C. 2 D. 2 A. –1

[2005 : 2 Marks] B. 0
 C. 1
10. The integral  sin3 d is given by
D. depends on the direction (clockwise or
0
anti-clockwise) of the semicircle.
1 2
A. B. [2008 : 2 Marks]
2 3

24
www.gradeup.co

14. The volume under the surface z(x, y) = x  


sin x
+ y and above the triangle in the x-y plane
21. The value of integral 0 y x
dx dy, is

defined by {0≤y≤ and 0≤x≤ 12} is ____. equal to _____.


[2014 : 2 Marks,Set-1] [2019 : 1 Mark]
1 22. Consider the line integral  (xdy − ydx). The
2  D
15. The integral (x + y + 10) dx, dy, where
C

D denotes the dise: x2 + y2 ≤ 4, evaluates integral begin taken in a counter clock wise

to ______. direction over the closed curve C that

[2016 : 2 Marks,Set-1] forms the boundary of the region R shown

16. The region specified by {(p, , z) : 3 ≤ p in the area enclosed by the union of a 2 ×
3 rectangle and a semi-circle of radius 1.
 
≤5,    , 3≤ z ≤ 4.5} in cylindrical
8 4 The line integral evaluates to.

coordinates has volume of _____.


[2016 : 2 Marks,Set-1]
1
dx
17. The integral  , is equal to ____.
0 (1 − x)

[2016 : 1 Marks,Set-3]
18. Let I =  (2zdx + 2ydy + 2xdz) where x, y, z
C

are real, and let C be the straight line


A. 16 + 2  B. 6 +  /2
segment from point A: (0, 2, 1) to point B:
C. 12 +  D. 8 + 
(4, 1, –1). The value of I is ____.
[2017 : 2 Marks,Set-1] [2019 : 2 Mark]

19. A three dimensional region R of finite


MAXIMA & MINIMA
volume is described by x2 + y2 ≤ z3; 0 ≤ z
≤ 1, where x, y, z are real. The volume of 23. As x increased from −  to , the function

R (up to two decimal places) is_____. ex


f(x) =
[2017 : 2 Marks,Set-1] 1 + ex
20. The values of the integrals A. monotonically increases
1 1  1 1  B. monotonically increases
x–y x–y
   (x + y)3 dy dx and    (x + y)8 dx dy C. increases to a maximum value and the
0 0  0 0 
are decreases

A. same and equal to 0.5. D. increases to a maximum value and the

B. same and equal to –0.5. decreases


C. 0.5 and –0.5 respectively. [2006 : 2 Marks]
D. –0.5 and 0.5 respectively. 24. Which one of the following function is
[2017 : 2 Marks,Set-2] strictly bounded?

25
www.gradeup.co

1 32. For a right angled triangle, if the sum of


A. B. ex
2
x the lengths of the hypotenuse and a side is
C. x2 D. e–x2 kept constant, in order to have maximum
[2007 : 1 Mark] area of the triangle, the angle between the
25. Consider the function f(x) = x2 –x – 2. The hypotenuse and the side is
maximum value of f(x) in the closed A. 12° B. 36°
interval [–4, 4] is C. 60° D. 45°
A. 18 B. 10 [2014 : 2 Marks,Set-4]
C. –2.25 D. indeterminate 33. Which one of the following graphs
[2007 : 2 Marks] describes the function f(x)=e–x(x2 + x + 1)
26. For real values of x, the minimum value of
the function f(x) = exp(x) + exp(–) is
A. 2 B. 1
C. 0.5 D. 0
[2008 : 1 Mark]
27. If e = x
y 1/x
, then y has a
A. maximum at x = e
B. minimum at x = e
C. maximum at x = e–1
D. minimum at x = e–1
[2010 : 2 Marks]
28. The maximum value of
f(x) = x3 – 9x2 + 24x + 5 in the interval [1,
6] is
A. 21 B. 25
C. 41 D. 46
[2012 : 2 Marks]
29. For 0 ≤ t <  , the maximum value of the
function f(t) = e–1 2e–2t occurs at
A. t = loge4 B. t = loge2
C. t = 0 D. t = loge8
[2014 : 1 Mark,Set-2]
30. The maximum value of the function f(x) =
In (1 + x) – x (where x > –1) occur at [2015 : 2 Marks,Set-1]
[2014 : 1 Mark,Set-3] 34. The maximum area (in square unit) of a
31. The maximum value of t(x) = 2r –
3 rectangle whose vertices lies on the ellipse
9x2+12x–3 in the interval 0 ≤x ≤ 3 is ___. x2 + 4y2 = 1 is___.
[2014 : 2 Marks,Set-3] [2015 : 2 Marks,Set-1]

26
www.gradeup.co

27
www.gradeup.co

35. Consider the plot f(x) versus x as shown 37. The minimum value of the function f(x)
below 1
= x(x2 − 3) in the interval –100 ≤ x ≤100
3
occurs at x =_____.
[2017 : 2 Marks,Set-2]

PARTIAL DERIVATIVES & TAYLOR SERIES


x
Suppose, F(x) =  f(y)dy. Which one of the 38. Which of the following functions would
−5
have only odd powers of x in its Taylor
following is a graph of F(x)?
series expansion about the point x = 0?
A. sin(x3) B. sin(x2)
C. cos(x3) D. cos(x2)
[2008 : 1 Mark]
39. In the Taylor series expansion of exp(x) +
sin(x) about the point x= n, the coefficient
of (x–  )2 is
A. exp(  ) B. 0.5 exp(  )
C. exp(  )+1 D. exp(  )–1
[2008 : 2 Marks]
sin x
40. The Taylor series expansion of at x =
x−
 is given by

(x − )2 (x − )2
A. 1 + + ... B. −1 − + ...
3! 3!

(x − )2 (x − )2
C. 1 − + ... D. −1 + + ...
3! 3!
[2009 : 2 Marks]
36. As x varies from –1 to +3, which one of 41. The Taylor series expansion of 3sin x+
the following describes the behavior of the 2cos x is _____.
function f(x) = x3 – 3x2 + 1? x3
A. 2 + 3x – x2 − + ...
A. f(x) increases monotonically 2

B. f(x) increases, then decreases and x3


B. 2 – 3x + x2 − + ...
2
increases again.
x3
C. f(x) decreases, then increases and C. 2 + 3x + x2 − + ...
2
decreases again.
x3
D. f(x) increases and the decreases. D. 2 – 3x – x2 − + ...
2
[2016 : 1 Marks,Set-2] [2014 : 2 Marks,Set-1]

28
www.gradeup.co

  t2 
1 x
 n! converges to
− 
42. The series
47. Taylor series expansion of f(x) =  e  2 dt
n=O
0
A. 2I2 B. 2 a ront x = 0 has the from
C. 2 D. e
f(x) = a0 + a1 x + a2x2 + ...
[2014 : 1 Marks,Set-4]
The coefficient a2 (correct to two decimal
43. If z = xyln(xy), then
places) is equal to _____.
z z
A. x +y =0 [2018 : 1 Mark]
x y
ax2 + by2
z z 48. Let f(x, y) = , where a and b are
B. y =x xy
x y
z z f f
C. x =y constants. If = at x = 1 and y = 2,
x y x y

z z then the relation between a and b is


D. y +x =0
x y b
A. a =
[2014 : 1 Marks,Set-3] 4

44. The contour on the x–y plane, where the b


B. a =
2
partial derivative of x2+y2 with respect to y
is equal to the partial derivative of 6y + 4x C. a = 2b

with respect to x, is D. a = 4b

A. y = 2 B. x = 2 [2018 : 1 Mark]
C. x = y = 4 D. x – y = 0 49. Let r = x2 + y – z and z3 –xy + yz + y3 =
[2015 : 1 Mark,Set-3] 1. Assume that x and yare independent
45. A triangle in the xy-plane is bounded by variables. At (x,y,z) = (2,–1,1), the value
the straight lines 2x = 3y, y = 3 and x = (correct to two decimal places) of is____.
3. The volume above the triangle and [2018 : 2 Marks]
under the plane x + y + z = 6 is____.
50. Which one of the following is a property of
[2016 : 2 Marks,Set-3]
the solutions to the Laplace equation:
46. Let f(x) = ex+x2 for real x. From among the
2 f = 0 ?
following, choose the Taylor series
approximation of f(x) around x = 0, which A. The solutions have neither maxima nor

includes all powers of x less than or equal minima anywhere expect at the

to 3. boundaries.
A. 1 + x + x2 + x3 B. The solutions are not separable in the
3 2 3 coordinates.
B. 1 + x + x +x
2 C. The solutions are not continuous.
3 2 7 2 D. The solutions are not dependent on the
C. 1 + x + x + x
2 2
boundary conditions
D. 1 + x + 3x2 + 7x3
[2016 : 1 Marks,Set-1]
[2017 : 2 Marks,Set-1]

29
www.gradeup.co

VECTOR IN PLANE & SPACE VECTOR B. It is not necessary that these vectors
OPERATIONS span R1
C. Any four of these vectors form a basis
51.  ×  × P, where P is a vector is equal to
for R1
A. P ×  × P – 2P. B. 2P +  (×P).
D. These vectors are not linearly
C. 2P +  × P D. (.P)–2P
independent.
[2006 : 1 Mark]
[2020: 1 Mark]
52. Consider the time-varying vector
I=ˆ
x15 cos(t) + ˆ
y5 sin(t) in Cartesian CURL, DIVERGENCE, GRADIENT, GAUS’S
coordinates, where ω>0 is a constant. GREEN’S & STOKE’S THEOREM
When the vector magnitude |I| is at its
minimum value, the angle 0 that I makes
56.   (  P) ds, where P is a vector, is equal

with the x axis (in degrees, such that to

0≤θ≤ 180) is ____. A.  P.dl B.      P.dl


[2016 : 1 Mark,Set-2]
C.    P.dl D. s    .Pdv
53. If the vectors e1 = (1,0,2), e2 = (0,1,0)
[2006 : 1 Mark]
and e3 = (–2,0,1) form an orthogonal basis
57. If ˆx + x2ˆ
A = xya ay ,  A.dI over the path
of the three-dimensional real space R 3,
C
then the vector u = (4,3,–3)  R3 can be
shown in the figure is
expressed as
2 11
A. u = − e1 − 3e2 − e
3 5 3
2 11
B. u = − e − 3e2 + e
5 1 5 3
2 11
C. u = − e1 + 3e2 + e
5 5 3
2 11
D. u = − e1 + 3e2 − e
3 5 3
[2016 : 2 Marks,Set-3]
54. The smaller angle (in degrees) between 2
A. 0 B.
3
the planes x +y + z = 1 and 2x – y + 2z =
0 is_____. C. 1 D. 2 3

[2017 : 1 Mark,Set-2] [2010 : 2 Marks]

55.If v1, v2,...,v6 are six vectors in R4, which 58. Consider a vector field A(r). The closed
one of the following statements is FALSE?
A. If {v1,v3,v5,v6} spans R4, then it forms
loop line integral  A.dl can be expressed

as
a basis for R4

30
www.gradeup.co

61. The magnitude of the gradient for the


A.  (  A).ds over the closed surface
function f(x,y,z) = x2+3y2+z3 at the point
bounded by the loop
(1,1,1) is_______.
B.  (.A)dv over the closed volume [2014 : 1 Mark,Set-4]

bounded by the top 62. The directional derivative of


xy
C.  (.A)dv over the open volume f(x, y) =
2
(x + y) at (1,1) in the direction

bounded by the loop



of the unit vector an angle of with y–axis
4
D.  (  A).ds over the open surface
is given by___.
bounded by the loop
[2014 : 1 Mark,Set-2]
[2013 : 1 Mark]
ˆx + xa
63. Given F − za ˆy + ya
ˆz. If S represents
59. The divergence of the vector field
the portion of the sphere x2 + y2+ z2 = 1
ˆx + yay + za
A = xa ˆz is
for z ≥ 0, then    F.ds ______.
1 S
A. 00 B. ?
3 [2016 : 2 Marks,Set-4]
C. 1 D. 3 64. Suppose C is the closed curve defined as
[2013 : 1 Mark] the circle x2+y2 = 1 with X oriented anti
2
60. If ˆx + yay + za
R = xa ˆz and | r |= r, then clockwise. The value of  (xy dx + x2ydy)

div(r2  (lnr))______. over the curve C equals _____.

[2014 : 2 Marks,Set-2] [2016 : 2 Marks,Set-2]

ANSWER

1. C 2. C 3. A 4. C 5. B 6. B 7. B 8. A 9. A 10. C

11. C 12. A 13. B 14. 862 to 866 15. 20 16. 1.5pi 17. 2 18. –11 19. 0.7853 20. A

21. A 22. 2 23. A 24. D 25. A 26. A 27. A 28. B 29. A 30. 0

31. 6 32. C 33. B 34. 1 35. C 36. B 37. B 38. A 39. B 40. D

41. A 42. D 43. C 44. A 45. 10 46. C 47. 0 48. D 49. 0.50 50. C

51. D 52. 90° 53. B 54. C 55. C 56. A 57. C 58. D 59. D 60. 3

61. 7 62. 3 63. 6.28 64. 0

31
www.gradeup.co

32
www.gradeup.co

SOLUTION

1. The graph of given function indicator –ve 6. Since continuous function may not be
slope in +ve half and + v2 slope in – ve differentiable at x=x0, but differentiable
half. Hence option (c) satisfies the above function is always continuous.
condition. 7. Any of the given three functions cannot be
2. Option C is correct written as the linear combination of other
two functions. Hence, the statement I and
III are correct.

3. Option A is correct. 8. Satisfy the given condition


x Given |f’(x)|≤2;f(–1) = 0  –2≤f’(x)≤2;
 1
4. lim 1 +  = e (standard limit)
x →  x where x  [–2, 2]

5. The given function is Now applying Lagrange’s Mean Value

F(x) = 1 –x2+x3, Theorem over interval [–1, 2]

where x  [–1, 1] f(2) − f(−1)


 –2≤f’(x)≤2  –2≤ 2
2 − (−1)
 F’(x) = –2x +3x2
By mean value theorem  f(b) − f(a) 
 f '(c) b − a 
R(1) – F(–1)  
F '(x) =
1 − (−1) f(2) − 0
 −2   2  –2 ≤ f(2) ≤ 6...(2)
Now F(1) = 1–(1)2+(1)3=1 3

and F(–1)= 1–(–1)2+(–1)3= 1 – 1 –1 = – Hence option (a) satisfies the equation


1   −x2 
1
1 − (–1) 2
9. I=   8  dx
2 0
exp
 F '(x) = = =1  
1 − (−1) 1
−x2
 F’(x) = 1 dt =t
8
 –2x + 3x2 = 1
2x
 3x2 – 2x – 1 = 0  dx = dt
8
 3x2 – 3x + x – 1 = 0
4dt 2
 3x(x–1) + 1(x–1) = 0  dx =  dx = dt
x E
 (3x+1) = 0orx – 1 = 0
 2 
1 − 1 −t 2
1
 x = − or x = 1
I =
2
e 8 dx =
2
e t
dt
3 0 0

1 1
Now − lies between (–1, 1)  I=   =1
3 1
1 option A is correct.
x = −
3

33
www.gradeup.co

Cos hx 1 1
10. Cot ht = = (y–0) = (x=1)
Sin hx x −1

11. Two points on line are (–1, 0) and (0, 1)  y = –x+1

Hence line equations is


 y − y1 
y= 2 x + c= x + c = x + 1
 x2 − x1 
2 2
5
I=  ydx =  (x = 1)dx = 2
= 2.5
1 1

(since at x = 1, y = 2)
12. Here g (x,y) = 4x3=10y4
Now the given points on stranger line are
(0,0) and (1,2) Q Q Q
Now 2  (xdx + ydy) = 2  xdx + 2  ydy
 Equation of line is given by
P P P
y, y1 0 1
(y–y1) = (x–x1) O 1  x2   y2 
x2 − x1 = 2  xdx + 2  ydy = 2   + 2  
1 O  2 1  2 0
2
 (y–o) = (x–o)
1 = –1 + 1= 0
 y = 2x  Option (b) is correct
Now according to question 14. The volume under the surface z(x, y) = x
1 1 + y and above the triangle in the x – y
3 4
 g(x, y)dx =  (4x + 10y )dx plane is given
O o
12  x 
1

( )    (x + y)dy  dx
= 3
4x + 10(2x) 4
dx Volume =
o
0 0 
1

 ( 4x )
3 12  x
= + 10(16x)4 dx y2 
o  xy +

 dx
2 
0 0
1

 ( 4x )
3
= + 160x)4 dx 12  x
x2 
o  xy +

 dx
2 
0 0
1
 4x4 160x5  1
 = x + 32x 
4 5
= +  x3 x3 
12
 2x0 + x3 
12
 4 5 
0
0
 Volume =  +  = 
 3 6 
0  6 0
= 1 + 32 = 33
12
 option (a) is correct  x3  1
=   = (12)3 = 864 [1 number]
13 Here the given points are P (1, 0) and Q  2 0 2

(0, 1) Equation of line is given by 15. Given integral is converted into polar
y2 − y1 coordinates, we get
(y – y1) = (x = x1)
x2 x1

34
www.gradeup.co

1 1
dx
2 
( x + y + 10 ) dxdy 17. Let I = 
1
= 12 1 − x  = −2[0 − 1]
D 0 1−x  0
2 2
1  I=2
=
2 r −0 −0
(r cos  + r sin  + 10) pdrd
18. A(0,2,1) and 6(4,1, –1)
2 2 The equation of the line AB is
=
1
2 r =0 =0
(
r2 cos  + r2 sin  + 10 dr d ) x −0 y −2
= =
z −1
= t(say)
4 − 0 1 − 2 −1 − 1
2  3 2
1 r r3   x = 4t; y = –t + 2; Z = –2t+ 1
=   cos  + sin  + 5r2  d
2 0 = 0  3 3 0  dx = 4 dt; dy = – dt; dz = – 2dt
Also t varies from 0 to 1

1 8 8 
=   cos  + sin  + 20d
2 0  3 3 
1
 I =  2(−2t + 1)4dt + 2(−t + 2)
0
2
1 8 8  (−dt) + 2(4t) + 2(4t)(−2dt)
= cos  + sin  + 20 
2  3 3 0
1 1

1  8 8 =  (−16t + 8 + 2t − 4 − 16t)dt =  (−30t + 4)dt



=  sin2 + cos 2 + 40  0 0
2  3 3 
1
 t2 
8 8  =  −30 + 4t  = (−15 + 4) = −11
−  sin0 − cos 0    2 
3 3   0
19. Let x2 + y2 = t2 andt2 = z3
1  8   8 
=  0 − + 40  −  −  
2  3   3 

1  8   8 
=  − + 40  −  −  
2  3   3 

1  8 8 8
=  − + 40 +  =  40 = 20
2  3 3  2

=
P =5 4 4.5
16. Required Volume =    Pdp.ddz
P =3  z =3
=
8 Here revolution is about z axis
  1
5 2
4.5 4  P2  4.5 4
1 Now volume of region R =  (PQ) dz
=    2  ddz =   2 (25 − 9)ddz 0
3  3 3 
8 8 Where PQ is radius of circle at some z.
  Now PQ = x2 + y2
4.5 4 4.5 4.5
4  
=8   ddz = 8    = 8  
 4 8 
dz  (PQ)2 = x2 + y2 = z3
3  3 3  1 1
8 8
 Volume of region R =  t2dz =  z3dz
4.5 4.5 0 0

=8   8 dz = 8  dz = [z]3
  
3 3 = = 0.7853
4
=  (4.5–3)=1.5 

35
www.gradeup.co

20. Option C is correct.


 (xdy − ydx) =  (−y dx + x dy); where C is a
C C
21.
Closed figure formed by rectangle and a
semi circle having radius 1.
To counter line integral to area integral
Now Using Green’s theorem, we have

 
 
 C  − y dx + y dy  =  (1 + 1)dx dy
 
 M  R
 M 

= 2   dx dy → Area of the region R


R

sin x
  x dx dy =2[Area of rectangle + Area of semi-circle]
00
 1   
Given limits are x = y → x  & y = 0 = 2 (3  2) + (12 ) = 2 6 +  = (12 + )
 2   2
→ y =  . For change of order of
ex
integration 23. Given, f(x) =
1 + ex
Consider a strip parallel to Y-axis. Then
(1 + ex ).ex − e2x ex
Limits of y are : y = 0 → y = x and Limits  f '(x) = =
(1 + e )
2
x (1 + ex )2
of x : x = 0 → x = 
   sin x
  which is always + ve, so monotonically
sin x
 dx dy =   x
 dy  dx
increases
x x =0 y =0

00 
1
  24. graph of y =
sin x x2
=  [y]0x .dx =  sin x.dx
x =0
x x =0


= –cos 0 =–[–1–1]=2


sin y
  x
dx dy = 2
0y

22.

graph of y = ex

36
www.gradeup.co

graph of y = ex 1
27. Given: ey =
xx
1 1
Taking log, In ey = In  y= In x
x x
x
Differentiating
dy −1  1 
= (In x) +  =0
dx x2  x2 

−1
 {−In x + 1} = 0
x2
graph of y = e–X2
−1
 = 0 or In x = 1
x2
 x =  or x = e1 = e
Differentiating equation (i), we have

d2 y −2 1 2 
= − − In x 
2 2 3
dx x x x3 
For x = e,

d2 y 2 1 2 1
= − − =− (−ve)
2 2 3 3 e3
25. Given, f(x) = x2 – x – 2 dx e e e 

df(x) 1 Hence maxima at x = e.


= 0  2x – 1 = 0  x =
dx 2 28. f(x) = x3 – 9x2 + 24x +5

d2f(x) To find maximum value of f(x), putting


 = 2(+ve),
dx2 f,(x) = 0

So it shows only minima for interval or, 3x2 – 17x + 24 = 0or,x2 – 6x + 8 = 0

[–4, 4], it contains a maximum value that x = 2,4

will be at Now, at x = 2, f’(x) = (2x – 6)|x=2=–2<0

x = 1 or x = 4 x = 4, f’(x)=(2x – 6)|x=42>0

 f(–4) = 18 Hence, a maxima occurs at x = 2 and a

and f(+4) = 10 minima occurs at x = 4

So, maximum value is 18 But at the end of interval, x = 6


2 f(x)=63 – 9 × 62 + 24 × 6 + 5 = 41
1  x
x 1 
26. f(x)=e + e = e +
x x
− +2
x e x  Which infers that maximum value of a
e  2 
 e2 
 function is simply the greatest value in the

 x 1  immediate neighborhood of the maxima


The minimum value of  − is zero at
e x  point (x = 2, in this case). IN fact there of
 2 
 e2 
 a function is an interval same is also true
x = 0 then min |f(x)| = 2 for the minimum value of a function.

37
www.gradeup.co

38
www.gradeup.co

29. f’(t) = – e–t + 4e–2t = 0 Equation F”(x)-0 we get


1 e–xx(1–x)=0
 e − t[4e−t − t]  e−t =
4  x=0 or x=1
 t = log4
 andf’’(t)< 0 Now again differentiating F”(x)w.r.t.(x) will
d −x
at t = log4 get F”(x)= e (x − x2 )
dx
30. f(x) = 0
1 −x
 d
= e− x 
 dx
( 
) (
x − x0  + x − x2
 dx
e)
d −x
 −1 = 0  =0x = 0
1+ x 1+ x
–e–x(1–2x)+(x–x2)e–x(+)
−1
and f11(x) =  0 at x = 0 =e–x[1–2x – x + x2]
(1 + x)2
F”(x) = e–x[x2 = 3x+1]
31. f (x) = 6x – 18x + 12 = 0
1 2
For x = 0
 x = 1, 2  [0, 3]
F” (0)1(1)>0
Now f(0) = 3; f(3) = 6
 at x = 0 F (x) is minimum and for x = 1
and f(1) = 2; f(2) = 1
1
Hence, f(x) is maximum at ax = 3 and the F” (1) = e–1(1–3+1) = −
e0
maximum value is 6.
 at x = 1, F (x) is maximum
32.
 Option (b) is correct
34.

Here C2 = a2 + b2
Now, a + c = Constant
 Let a + c = K Area of rectangle = 2x×2y= 4 xy
1 (Area)2 = 16x2y2 = 4x2 (1–x2)
 cosθ =
2
 F = 4x2 (1–x2)
 θ = 60
Now difference ‘F’ w.r.t ‘x’ we get
d 2 d –x
33. F’(x)=e (x + x + 1)+(x2+x+ 1) e xd2
–x
dx dx F '(x) = 4
dn
( ) (
1 − x2 + 1 − x2
d
dx
)
4x2

=e –x
(2x+1) 0− (x2+x+1)e–x = 4x2(–2x) + (1–x2)8x
= e–x(x–x2) =8x3 + 8x – 8x3 =–16x3+8x
F’(x) = e–xx(1–x) Now Equaling

39
www.gradeup.co

F’(x) = 0 f(x) = 0
 8x(1–2x2) = 0
1
 x= 0 and x2 =
2

1
x = 
2

1
y = 
2

Now area of rectangle = 4xy 3x2 – 6x = 0

 1  1  1 3x(x–2) = 0
= 4   = 4
 2  8  16 x = 0, 2
f’(x) = 6x – 6
1
= 4 =1
4 At x = 0 f’(0) = –6 maxima

35. From the given question integration of x = 2 f’(2) = –6 minima


Then the given function f(x) increases,
ramp is parabolic, integration of step is
then decreases and increase again.
ramp.
37. Option B is correct.
So, the required graph of f(x) is shown
38. Taylor’s series about x = 0 is
below
x2
f(x) = f(0)+xf(0) + f’(0) +....
2!
For f(x) = sin x3
 t(0) = 0
f’(x) = 3x2 cos x3
 f’(0) = 0
f’(x) = 6x cos x3 –(3x2) sin x3
 f’(0) = 0
f’’(x) = 6 cos x3 – 36x3 sin x2–(3x2)2
 f’’(0) = 6

Similarly for f’1x(x) = constant we get the


Taylor’s

x3 x9
f(x) = 6+k + .......
3! 9!
39. Let f(x) = ex + sin x
Taylor’s series is
36. Given f(x) = x – 3x + 1
3 2
(x − a)2
f(x)=f(a) + (x–a) f(a)+ f’(a)
f(x) = 3x2 – 6x 2!

40
www.gradeup.co

where, a =  1 3
= 2 + 3x – x − x + ....
2
(x − )2
 f(x)=f(  )+(x–  )f(  )+ f’(  ) 
2! 1 1 1 1 1 1 1
42.  n! = 0! + 1! + 2! + 3! = 1 + 1 + 2 + 6 + ....
f ''() n=0
confident of (x–  ) is
2 Now expansion of ex
f’(  )=ex – sin x | |atx =  =e 
x2 x3
ex = 1 + x + +
 Coefficient of (x–  )2 = 0.5 exp(  ) 2 6

x3 x5 For x = 1
40. Since sin x = x − + +−−−
3! 5! 1 1
e1 = 1 + x + + + ....
(x – ) 3
(x – ) 5 2 6
 sin (x–  )=(x–  )– + + ....
3! 5! 
1
(x – )3
(x – ) 5
  n! = e
n=0
or –sin 1 (x–  ) − + + ....
3! 5!
z  1 
3 5 43. = y x   y + 1n xy 
sin x (x – ) (x – ) x  xy 
or − =1 + + ....
(x − ) 3! 5!
z
sin x (x – )2 (x – )4 = y [1+ In xy]and = x(1 + 1n xy)
or − = −1 + − + .... x
(x − ) 3! 5!
z z
41. Taylor series expansion of 3 sin x + 2 cos x  x =y
x y
Taylor series is given by
44. The partial derivative of x2y2 with respect
f '(x0 )
f(x)=f(x0)+f(x0)(x–x0)+ (x − x0 )2 to y is 0 + 2y  2y. The partial derivative
2!
f '(x0 ) of 6y + 4x with respect x is 0 + 4 = 4.
+ (x − x0 )3 + ....
3! Green that both are equal
Here x0 = 0  2y = 4  y = 2
f '(0) 2 45. 10 is the correct answer.
 f(x) = f(0)+f’(0)(x)+ x
2 46. x = 0
f ''(0) 3 f(x)=ex ex2
+ x + ....
6
 x2 x3  x4 x6 
Now f(x) = 3 sin x + 2 cos x  f(0) = 2 = 1 + x + + + ... 1 + x2 + + 
 2! 3!  2! 3! 
Now f(x) = 3 cos x + 2(–sin x)  

= cos x – 2 sin x  f’(0) = 3 x4 x6 x5 x7


= 1 + x2 + + + ... + x + x3 +
f’’(x) = – 3 sin x – 2 cos x  f’’(0) = – 2 2 6 2 6
f’’(x) = – 3 cos x + 2 sin x x2 x4 x6 x8
+ + + + .... +
From equation (i), 2 2 4 12
f '(0) 2 f ''(0) 3 x3 x5 x7 x9
f(x) = f(0) + x + x + (x) + .... + + + + + ...
2 6 6 6 12 36
(−2) 2  −3  3 3 2 7 3
= 2 + 3x + x +  (x) + .... =1+ x + x + x
2  6  2 6

41
www.gradeup.co

x z
−2/2
47. f(x) =  e dt By substituting the value of
x
in
0

Differentiating f(x)w.r.t ‘x’ we get equation(ii),we get,

f(x) = e–x2/2 and f’’(x)=e–x2/2(–x) r y


− 2x −
x 2
3z + y
Now f’(0) = 0
f '(0) Now
a2 = =0
2! r (−1)
= 2(2) −
48. Here, x (2, −1,1) 3(1)2 + (−1)

ax2 + by2 x y 1


f(x, y) = = 2  + b 
xy y x = 4+ = 4.50
3 −1
f a by 50. Option C is correct.
Now = −
x y x2
51. From triple product of vector
f A×(B×C)=B(A,C)–C(A,B)
Now at x = 1 and y = 2
x
Now Here A =  , B =  and C = P
f  a by   a b(2)  a   ×  ×P =  , (J.P)–P = (  .  )
x = y − 2   − 1  = 2 − 2b
(1,2)  x  (1,2)  2 
=  (  .P)–  2P

f  ax b   option (d) is correct


Now = − + 
x  y2 x  52. 90 is the correct answer.

f 53. Option B is the correct answer.


Now at x = 1 and y = 2
x 54. Option C is the correct answer.
55. Given V1, V2,……..V6 are six vectors in IR4.
f  ax b  a
 = − +  = − +b As the dimension of IR4 is 4, any four
y 2 x  4
(1,2)  y
(1,2)
vectors that spans IR4 forms a basis for
Now according to question
IR4. So, choice A is not FALSE
f f If the dimension of a vector space is n then
=
x y
any set of n + 1 or more vectors in that
a a 3a
 − 2b = − + b = + 3b vector space are NOT linearly independent.
2 4 4
So, choice B is NOT FALSE
 a = 4b
A collection of four vectors of IR4 forms a
49. r = x2 + y – z
basis for IR4 only when they span IR4 or
r z
 = 2x − they are linearly independent
x x
Now, z3 –xy + yz +y3 = 1 So, any four of the given vectors need not
form a basis for IR4
z2 z
 3z −y+y− =0 56. According to stokes theorem
x x


z
=
y   (  P).ds =  P.dll
2
x 3z + y

42
www.gradeup.co

43
www.gradeup.co

a 2 Similarly for R → S
57. A = xy 2 + x ˆ
ay,
y = 3, dy = 0
 A.dl = ? 1 1
0 S 3  x2  3
2  A.dl =  3xdx = 3  
 2 
ˆ + x ay
Here A = xyax ˆ + yay
ˆ and I = xax ˆ R 2 2
3 3

Now dI = dxax ˆ
ˆ + dyay 3 1 4 3 3
=  −  = (−1) = −
2 3 3 2 2
Now AdI = (xyaˆx + x2aˆy ),(dxax ˆ
ˆ + dyay)
Similarly for S → P
2
AdI = xydx + x dy 1
x= ad dx = 0
  A.dl = 2 3
 xydx  x dy
C C S 1 2 1 1
 1  1 1
 A.dl =   3  dy = 3  dy = 3  dy
P 2 2 2

1 1 1 1
= y 2 = [1 − 2] = −
3 3 3
Q R S P
  A.dl =  A.dl +  A.dl +  A.dl +  A.dl
C P J R S

 1 8 3 2   3 + 16 − 9 − 4 
= + − − =  =1
2 3 2 3  6 
 Option (c) is correct
For P → Q 58. According to stoke theorem

y = 1 ad dy = o  A.dl =   (  A ) .ds
2 C S
Q 3
59. A = xax
ˆ + yay
ˆ + Zaz
ˆ
 A.dl =  xdx
P 1
3
Difference of A is given by

2     
.A =  ˆ+
ax ˆ+
ay ˆ
az
1  2   1  
2 3
x 2  3  x y z 
=  =   −  
 2  1 2  3 
  3   ˆ + yay
.(xax ˆ + zaz)
ˆ
3

  
1 4 1 1 = x+ y+ z = 1 + (+)
= − = x y z
2  3 3  2
Option (d) is correct
Similarly for Q → R

2 ˆ + yay
60. r = xax ˆ + zaz
ˆ and 1r1 = r
x= dx = 0
3 div (r2  1nr)
R 3
 2 
2
4 3 4 8  1  r r r 
=   r2 
  A.dl =   3  dy = 3 y1 = 3  = 3    x
ˆ+
ar
y
ˆ+
ay
z
ˆ 
az

Q 1

44
www.gradeup.co

 r r r   2xy + y2 x2 + 2xy   x2 + y2 + 4xy 


=  r ax + ˆ
r +r ˆ+r +
ay ˆ
az ĥ =  + = 
 x y z   2 2   2 
   
Now ˆ + yay
r = xax ˆ + zaz
ˆ  F in direction of ĥ at (111)
ˆ + ay
= [r(ax ˆ + az)]
ˆ 1 + 1 + 4 
Now =  =3
 2 
  r r 
= ˆ+
rx ˆ+
ay ˆ  .[r(ax
az ˆ + ay
ˆ + az)]
ˆ
 x ry z  ˆ zy
ax ˆ az
ˆ
  
 r r   r r  63.   F =
= + +  + + = 1 x ry z
x ry z  x ry z 
z x y
=1 + 1 + 1 = 3
 y x   y z   x z 
61. f(x,y,z) = x2 + 3y2 + z3 ˆ
= ax − ˆ
 − ay − ˆ
 + az − 
 y z   x z   x y 
  ˆ r ˆ r ˆ  f ˆ F ˆ F ˆ
.f =  i j k  .F = i j k  x x   y   x 
 x ry z  x ry z ˆ 1 −
+ax − ˆ
 − ay ˆ 1 −
− 1  + az 
 z z   x   y
=
x
(
 2
x + 3y2 + z3 ˆi +
 2
y
) (
x + 3y3 + z3 ˆj + ) ˆ + ay
= ax ˆ + az
ˆ

Now,
=
 2
x
(
x + 3y2 + z3 k )
ˆ = 2  ˆi + 6yj ˆ
ˆ + 3z2k
   F.ds =  (axˆ + ayˆ + az).(az
ˆ ˆ − dxdy)
S
ˆ
.F (1,1,1) = 2iˆ + 6jˆ + 3k
=  dndy = 2p(1)2 = 2  3.14 = 6.28
S
Now | .F | at(1,1,1) (2)2 + (6)2 + (3)2
64. By Green’s theorem
= 4 + 36 + 9 = 7
2
C=  xy dx + x2ydy (where C = Closed
xy
62. F(x, y) = (x + y)
2 curve)
 d d 
  xy    xy  =   dx (x
2
y) − (xy2 ) dxdy
F =  (x + y)  ˆi +  (x + y)  ˆi dy 
x  2  y  2  R

 2xy + y2   x2 + 2xy  =  (2xy − 2xy) = 0


=  ˆi +   ˆi R
 2   2 
    So, the value closed curve C is 0
Now the given direction is

ˆ 1 ˆ 1 ˆ
h= i+ j
2 2
Now directional derivative in direction of

❖❖❖❖

45
3
www.gradeup.co

Differential Equations

Analysis of Previous GATE Papers

2017 Set 1

2017 Set 2

2016 Set 1

2016 Set 2

2016 Set 3

2015 Set 1

2015 Set 2

2015 Set 3
2020

2019

2018
Year →
Topic
Topic ↓

MCQ Type 1 1
1 Mark
Numerical Type
Type and Order,
Variation of Parameter, MCQ Type 1 1 1
Variable Separation 2 Marks
Numerical Type
Total 3 2 2 1
MCQ Type 1 1 1
1 Mark
Numerical Type
Complementary Function
MCQ Type
and Particular Integral 2 Marks
Numerical Type
Total 1 1 1
MCQ Type
1 Mark
Numerical Type
Initial and
MCQ Type 1 1
Boundary Conditions 2 Marks
Numerical Type 1 1
Total 2 2 2 2

46
www.gradeup.co

TYPE & ORDER, VARIATION OF dy y


B. =– 2. Straight lines
PARAMETER, VARIABLE SEPARATION dx x

1. A curve passes through the point (x = 1, dy y


C. = 3. Hyperbolas
dx x
y =0) and satisfies the differential equation
dy y
dy x2 + y2 y D. =–
= + .The equation that dx x
dx 2y x
A. A –2, B–3, C–3, D–1
describes the curve is
B. A – 1, B –3, C–2, D –1
 y2 
A. In 1 + 2  = x – 1 C. A–3, B–2, C–1, D –2
 x 

D. A –3, B–2, C–1, D –2
  y  2
[2009 : 2 Marks]
B. In 1 +  = x –1
2   x2  5. The general solution of the differential
 y equation
C. In 1 +  = x – 1
 x
dy 1 + cos 2y
= is
1  y dx 1 – cos 2x
D. In 1 +  = x – 1
2  x A. tan y – cot x = c (c is a constant)
[2003 : 2 Marks] B. tan x – cot y = c (c is a constant)
2. The following differential has C. tan y + cot x = c (c is a constant)

 d2y   dy 
2 D. tan x + cot y = c (c is a constant)
2
3  + 4  +y +2 = x
 dt2   dt  [2015 : 1 Mark, Set-2]
 
6. Which one of the following is the general
A. degree = 2, order = 1
solution of the first order differential
B. degree = 1, order = 2
equation
C. degree = 4, order = 3
D. degree = 2, order = 3 dy
= (x + y – 1)2
dx
[2005 : 1 Mark]
3. The order of the differential equation where x, y are real?

3 A. y = 1 + x + tan–1 (x +c), where c is


2
d y  dy 
+   + y4 = e–1 is constant.
2
dt  dt 
B. y = 1 + x + tan (x + c), where c is a
A. 1 B. 3
constant.
C. 3 D. 4
C. y = 1 –x + tan–1 (x + c), where c is a
4. Match each differential equation in Group I
constant.
to its family of solution curves from
D. y = 1 – x + tan (x + c), where c is a
Group II
constant.
Group I Group II
[2017 : 2 Marks, Set-1]
dy y
A. = 1. Circles
dx x

47
www.gradeup.co

7. The partial derivative of the function d2 y dy


+ 2 +y=0
2 dx
F(x, y, z) = e 1-x cos y
+ xze -1/(1+y2)
dx
With respect to x at the point (1, 0, e) is has two equal roots, then the values of a

A. 1 B. 1 are
e
A. ± 1 B. 0,0
C. 0 D. –1 1
C. ±j D. ±
[2020: 1 Mark] 2

8. Which one of the following options contains [2014 : 1 Mark, Set-2]

two solutions of the differential 13. Which ONE of the following is a linear non-
homogeneous differential equation, where
equation dy = (y − 1)x ?
dx x and y are the independent and
A. ln|y – 1| = 2x + C and y = 1
2
dependent variables respectively?
B. ln|y – 1| = 2x2 + C and y = –1 dy dy
A. + xy = e–x B. + xy = 0
C. ln|y – 1| = 0.5x + C and y = –1
2 dx dx
D. ln|y – 1| = 0.5x2 + C and y = 1 dy dy
C. + xy = e–y D. + = e–y = 0
[2020: 2 Marks] dx dx
[2014 : 1 Mark, Set-3]
COMPLEMENTARY FUNCTION &
14. If a and b are constant, the most general
PARTICULAR INTEGRAL
solution of the differential equation

9. y = e–2x is a solution of the differential d2 x dx


+2 + x = 0 is
2 dt
equation y” + y –2y = 0. (True/False). dt

[1994 : 1 Mark] A. ae–t B. ae–1 + bte–t

10. A solution of the following differential C. aet + bte–t D. ae–2t


[2014 : 1 Mark, Set-4]
dy d2y
equation is given by –5 + 6y = 0 15. The general solution of the differential
2 dx
dx
equation
A. y = e2x + 3–3x B. y = e2x + e3x
C. y = e–ex + e3x D. y = e–2x + e–3x d2 y dy
+2 – 5y = 0
2 dx
dx
[2005 : 1 Mark]
in terms of arbitrary constant K1 and K2 is
11. Which of the following is a solution to the

dx(t) A. K1e(–1+ 6)x


+ K2e(–1– 8)x

differential equation + 3x(t) = 0?


dt
B. K1e(–1+ 8)x
+ K2e(–1– 8)x

A. x(t) = 3e –t
B. x(t) = 2e –3t

C. K1e(–2 + 8)x
+ K2e(–2– 8)x
3
C. x(t) = – t2 D. x(t) = 3t2
2
D. K1e(–2 + 8)x
+ K2e(–2– 8)x

12. If the characteristic equation of the


[2017 : 1 Mark, Set-2]
differential equation

48
www.gradeup.co

16. The families of curves represented by the m

2
C. y =  Am x a
dy x m
solution = –   of the equation
dx y m

For n = – 1 and n = + 1, respectively, are


D. y =  Am e a
m
A. Hyperbolas and Circles [2006 : 2 Marks]
B. Circles and Hyperbolas 20. The solution of the differential equation
C. Hyperbolas and Parabolas
d2 y
k2 = y – y2 under the boundary
D. Parabolas and Circles dx2
[2019 : 1 Mark] conditions

17. The general solution of is (i) y = y, at x = 0 and


(ii) y = y2 at x = ∞, where k, y1 and y2 are
A. y = C1e3x
constant, is
B. y = (C1 + C2x)e-3x
 –x 
C. y= C1e3x + C2e-3x A. y = (y1–y2) exp   + y2
 K2 
D. y = (C1 + C2x)e3x
 –x 
[2020 : 1 Mark] B. y = (y2 – y1) exp   + yr
 K2 

INITIAL & BOUNDARY CONDITIONS x


C. y = (y1 – y2) sinh   + y1
K 
18. A solution for the differential equation
 –x 
D. y = (y1 – y2) exp   + y2
x(t) + 2x =  (t) K 
with initial condition x(0–) = 0 is [2007 : 2 Marks]
A. e –2t
u(t) B. e u(t)
2t
21. A function n(x) satisfies the differential
C. e u(t)
–1
D. etu(t)
d2n(x) n(x)
[2006 : 1 Mark] equation – = 0 where L is a
2
dx L2
d2 y constant. The boundary conditions are:
19. For the differential equation + K2 y = 0
2
dx
n(0) = K and n (∞) = 0. the solution to
the boundary conditions are this equation is
(i) y = 0 for x = 0 and x
A. n(x) = Kexp
(ii) y = 0 for x = a L
The form of non-zero solution of y (where  –x 
B. n(x) = K2exp  
m varies overall integers) are  L
mx  –x 
A. y =  Am sin a
C. n(x) = Kexp  
m  L 
mx  –x 
B. y =  Am cos a
D. n(x) = kexp  
m  L 
[2010 : 1 Mark]

49
www.gradeup.co

22. The solution of the differential equation 26. Consider the differential equation

dy d2 (t) dx(t)
= ky, y(0) = c is +3 + 2x(t) = 0 . Given x(0) = 20
dx dt 2 dt
A. x = ce –ky
B. x = ke cy
10
C. y = cekx D. y = ce–kx and x(1) = where e = 2.718, the value
e
[2011 : 1 Mark]
of x(2) is ____.
23. With initial condition x(1) = 0.5, the
solution of the differential equation, [2015 : 2 Marks, Set-3]

dx 27. The particular solution of the initial value


t + xt is
dt problem given below is
1 1
A. x = t– B. x = t2 – d2 y dy
2 2 12 + 36y = 0 with y (0) = 3 and
2 dx
dx
t2 t
C. x = D. x =
2 2 dx
= –36
dx x–0
[2012 : 1 Mark]
24. With initial values y(0) = y(0) = 1, the A. (3–18x)e–6x B. (3 + 25x)e–6x
solution of the differential equation
C. (3 + 20x)e–5x D. (3 – 12x)e–6x
2
d y dy
+4 + 4y = 0 at x = 1 is ____. [2016 : 2 Marks, Set-3]
2 dx
dx
28. Consider the homogeneous ordinary
[2014 : 2 Marks, Set-4]
25. The solution of the differential equation differential equation

d2 y dy d2y dy
2
+2 + y = 0 with y(0) = y(0) = 1 is x2 – 3x + 3y = 0, x  0 with y(x) as a
dt dt dx 2 dx
A. (2 – t) et
general solution. Given that y(1) = 1 and
B. (1 + 2t) e–t
y(2) = 14 the value of y(1.5), (rounded off
C. (2 + t)e–t
of to two decimal places), is ____.
D. (1 – 2)et
[2015 : 2 Marks, Set-1] [2019 : 2 Marks]

ANSWER

1. A 2. B 3. B 4. A 5. D 6. D 7. C 8. D 9. True 10. B

11. B 12. D 13. A 14. B 15. * 16. A 17. D 18. A 19. A 20. D

21. D 22. C 23. D 24. 0.54 25. B 26. 0.85 27. A 28. 5.25

50
www.gradeup.co

SOLUTION

dy x2 y y dy –y
1. = + + (ii) =
dx 2y 2 x dx x
Let y = xt  log y + log x = log c
dy dt or c = xy, which is hyperbola equation
 =t+x
dx dx dy x
(iii) =
dx y
dt x tx
 t+x = + +t
dx 2t 2  ydy = xdx
 1 + t2  or y2 = x2 + c, which is hyperbola equation
dt 1 t dt
 x = x +  x = x 
dx  2t 2  dx  2t  dy –x
  (iv) =
dx y
2t
Now  1 + t2 dt =  dx + C  y2 + x2 = c, which is equation of a circle
dy 1 – cos 2y
In(1 + t2) = x + C 5. Give =
dx 1 + cos 2x
y
 t = dy dx
x  = Variable -
1 – cos 2y 1 + cos 2x
 y  2
So, In 1 + 2  = x + C Separable
 x 

dy dx
At x = 1, y = 0  =
2 sin2 y 2 cos2 x
 0 2
In = 1 +  In (1) = 0 = 1 + C   cos ec ydy =  sec2 xdx
 1

C = –1  – cot y = tan x + k  – tan x – cot y = k

  tan x + cot y = c where c = –k


y2 
So, In 1 + 2  = x – 1
 x 
 dy
6. = (x + y – 1)2 ...(i)
2. Order is highest derivative and degree is
dx

poulee of height derivative Let x+y–1 =t ...(ii)


Now differentiating equation (ii) w.r.t to ‘x’
 Order 2 and degree = 1
we get
3. The order a differential equation is the
order of the highest derivative involving in dy dt
1+ =
dx dx
equation, so answer is 2.
dy dt
4. A – 2, B –3, C – 3, D– 1  = –1
dx dx
dy y
(i) = Substituting equations (ii) and (iii) in
dx x
equation (i) we get
 log y = log x + log C
dt
or y = cx, which is straight line equation – 1 = t2
dx

51
www.gradeup.co

dt  y = e2x + e3x is solution of given


= t2 + 1
dx differential equation.

dt dx(t) dx(t)
2
= dx 11.  + 3x(t) = 0  = –3dt 
t +1 dt x(t)
Now integrating on both side we get, In [x(t)] = –3t + c
1 x(t) = ke–3t
 t2 + 1 dt =  dx 

d2 y(t) 2dy(t)
–1 12. + + y(t) = (t)
 tan t = x + c dt 2 dt

 x + y – 1 = tan(x + c) ( t = x + y – 1) Converting to s-domain,

 y = 1 – x + tan(x + c) [s2 + 2s + 1] y (s) + 2s + 4 = 1


–3 – 2s
y(s) =
2
(s + 2s + 1)

7. Find in verse Laplace transform


y(t) = [–2e–t –te–t]u(t)

dy(t)
= 2e–t + te–t – e–t
dt
dy(t)
= 2 –1 = 1
dt t = 0+

dy
8. 13. + xy = e–x is a first order linear equation
dx
(non-homogeneous)

dy
(b) + xy = 0 is a first order linear
Such that y ≠ 1. dx
9. y = e–2x equation (homogeneous)
y = –2e
1 –2x
(c), (d) are non linear equations
y1 = (–2)(–2)e –2x
= 4e –2x
14. A.E.: –m2 + 2m + 1 = 0  m = –1, –1
Now y1 + y1 – 2y= 4e–2x + (–2)e–2x –2(e–  general solution is x = (a + bt) e–t
2x
) = 4e–2x –2e–2x –2e–2x 15. The given differential equation is
=0
d2 y dy
–2x + – 5y = 0
y = e is a solution of the given dx 2 dx
differential equation. Now auxiliary equation for above
10. Auxillary Equation of given differential differential equation is
laudation is D2 + 2D – 5y = 0
D –5D + 6 = 0
2

–2  (2)2 – 4(1)(–5)
 (D–3) (D–2) = 0 m = = –1 ± 6
2(1)
 D = 3, 2

52
www.gradeup.co

Hence solution of above differential 19. Given, Differential equation,


equation is d2 y
+ k2 y = 0
y = K1e (–1 + 6)x+K
2e (–1 6 ) x dx2
Let y = A cos kx + jB sin kx
16. Given differential equation is
At x = 0, y = 0
n
dy x n
A=0
= –    dy = – x
dx y dx yn y = jB sin kx
For n = 1; we have At x = 0, y=0
dy xn  B sin ka = 0
 =–
dx yn or B ≠ 0 otherwise y = 0 always
For n = – 1; we have  sin ka = 0
dy x mx
 =–
dx y k=
a
By method of variable separable form.
 mx 
1 –1
 y=  Am sin  a 
 dy = dx m
y x
20. k2 D2y = y – y2
Integrative on both sides we get,
 2 1  –y2
ℓny = – ℓnx + ℓn(xy) = ℓnc D – 2  y = 2
 k  k
 xy = c2 1
m1 = 
k
Which represents family of hyperbolas,
For n = 1; we have C.F. C1 ex/k + C2e–x/k

dy x 1  –y2 
=–  (1) P.F.  
dx y D2 – (1 / k)2  k2 
By method of variable separable 1 1
Let  →
 ydy = – xdx k D2 – 2
integrative on both sides get
1  –1 
–1 –2 
D 1 D
y 2
x 2
x y 2 2 =  1 –  – 1 +  
=– +c + =c 2       
2 2 2 2 
–1 –y2
 x2 + y2 = c P.F. 2

2 k2
( c)
2
 x2 + y2 = which represents family  
D D2 D D2
1 – + 2 − − − +1 – – 2 − − 
of circles.      
17. Option A is correct answer y2  D 
= 1 – + − −
18. D2 – 6 D + 9 = 0 2 2  
k   
⇒ (D – 3)2 = 0 P.F. = y2
D = 3, 3 → equal roots y = C1 ex/k + C2e–x/k + y2
∴ y = (C1 + C2x)e . 3x
At y = y1 x=0

53
www.gradeup.co

y1 = C1 + C2 + y2 ....(i) xt =  t dt
At y = y2 x = ∞ Hence C1 must be zero
t2
 y1 = C2 + y2  xt = + c,
2
 C2 = y1 – y2
Given: x(1) =0.5
 x
y = (y1 – y2) exp  –  + y2 1
 k  0.5 = +c c=0
2
d2n(x) n(x)
21. Given: – =0 t2 t
dx 2
L2 Hence required solution xt =  x=
2 2
where, L = constant
24. A.E: m2 + 4m + 4 = 0
Boundary conditions are: n(0) = K
 m = – 2, – 2
and n (∞) = 0
 solutions is y = (a + bx)e–2x ...(1)
 2 1 1
 p 2  = 0  p=+ y = (a + bx) (–2e–2x) + e–2x (b) ...(2)
 L  L
using y(0) = 1; y(0) = 1, (1) and (2) gives
1 1
– x x
 n(x) = A1e L + A2 eL a = 1 and b = 3

Applying Boundary conditions:  y = (1 + 3x)e–2x


n(0) = Ae0 = A1 = A2 = k at x = 1, y = 4e–2 = 0.541 = 0.54

and n(∞) = 0 25. The given differential equation is of the


form
 n() = A1.0 + A2e = 0
(D2 + 2D + 1) y = 0
 A2 = 0
 D2 + 2D +1 = 0
 A1 = k
 (D + 1)2 = 0
1
– x
Hence solution is n(x) = ke L  D = – 1, –1
22. Given: y(0) = C  Solution of above differential equation is
y(t) = (c1 + c2t)et
dy dy
and = ky  = kdx
dx y (complimentary function)

Taking log, In y = kx + c  y(t) = c2 e–t + (c1 +c2t) (–e–t)


 y = ekxec  y(0) = 1, y(0) = 1
When y(0) = C, y = k1 e0 gives c1 = 1and c2 + c1 (–1) = 1

 y =cekx ...( k1 = C)  c2 = 2
23. Given differential equation is Hence y(t) = (1 + 2t)e–t

dx dx x 26. The given deferential equation is


t +x=t + =1
dt dt t d2x(t) 3dx(t)
+ + 2x(t) = 0
2 dt
1 dt
 t dt
IF = e = elog t = t;
Now Auxiliary equation of above
Solution is x(IF) =  (IF)dt differential equation is
D2 + 3D + 2 = 0

54
www.gradeup.co

 D2 + 2D + D + 2 = 0 dy
 = −18 + C2  – 36 = – 18 + C2
 D(D+2) + 1 (D+2) = 0 dx x = 0
 (D+1) (D+2) = 0  C2 = – 18
 D = – 1 and D = – 2.  The solution of y = 3e–6x – 18 Xe–6x
x(y) = C1 e + x C2 e–2t
(Since C1 = 3 & C2 = – 18)
Now according to question,
28. Given differential equation,
X (0) = 20
d2y dy
 20 = C1 + C2 → (1) x2 − 3x + 3y = 0 , ....(i)
2 dx
dx
10 x > 0 &y(1) =1 and y(2) = 14
ad × (1) =
e (Cauchy-Euler Linear D.E.)
10 C 2 eC + C2
 = C1e–1 + C2e–2 = 1 + C = 1 Equation (i) can be written as
e e e2 e2 [x2D2 – 3xD + 3]y = 0
 10e = eC1 +C2 → (2) Let xD = θ; x2D2 = θ(θ – 1);
Solving Eq (1) and (2) we get d
where θ = and x = ez
10e − 20 10e dz
C1 = and C2 =
e −1 e −1  [θ(θ – 1) – 3θ + 3]y = 0  [θ2 – 4θ
 10e − 20  −t  10e  −2t +3]y =0
 x(t) =   e +  e − 1 e
 e −1    Consider the Auxiliary equation as
 10e − 20  −2  10e  −4 θ2 – 4θ + 3 = 0  (θ – 3)(θ – 1) = 0
 x(2) =   e +  e − 1  e = 0.85
 e −1     θ = 1, 3 → (Roots are real and distinct)

27. The differential equation is given by  The solution is,


d2 y dy y = C1e1.2 + C2e3.2  y = C1x + C2x3[ x =
+ 12 + 36y = 0
dx2 dx ez] ...(ii)
 D2 + 12D + 36 = 0 Given y = 1at x = 1

(D+6)2 = 0  C1 + C2 ...(iii)

 D = – 6, – 6 and y = 14 at x = 2

The solution is given by  14 = 2C1 + 8C2


y = C1e-6x + C2Xe–6x ...(i)  C1 + 4C2 = 7 ...(iv)

y(0) = 3 Solving eq. (iii) and (iv) we get

 3 =C1 C2 = 2 and C1 = 1
Now from eq. (ii),
C1 = 3
(i)  y = 3e–6x + C2Xe–6x  y = (– 1)x + (2)x3
 y (1.5) = (– 1)(1.5) + 2(1.5)2
dy
dx

= −18e−6x + C2 −6xe−6x + e−6x  y(1.5) = 5.25

❖❖❖❖

55
www.gradeup.co

56
www.gradeup.co

57
www.gradeup.co

58
4
www.gradeup.co

Complex Analysis

Analysis of Previous GATE Papers

2017 Set 1

2017 Set 2

2016 Set 1

2016 Set 2

2016 Set 3

2015 Set 1

2015 Set 2

2015 Set 3
2020

2019

2018
Year →
Topic
Topic ↓

MCQ Type 1
1 Mark
Numerical Type 1

Analytic Function MCQ Type


2 Marks
Numerical Type 1 1

Total

MCQ Type 1 1 1
1 Mark
Numerical Type 1 1 1
Counchy’s Integral Formula
MCQ Type 1 1
and Residue Theorem
2 Marks
Numerical Type 1 1

Total 1 3 2 1 3 3 1

59
www.gradeup.co

ANALYTIC FUNCTION COUNCHY’S INTEGRAL FORMULA &


RESIDUE THEOREM
1. For the function of a complex variable
W = InZ (where, W = u + jv and Z = x + 7. The value of the contour in tegral

jy, the u = constant lines get mapped in z- 1


 dz in positive sense is
2
plane as |z–j|–2 z + 4

A. set of radial straight lines. j –


A. B.
B. set of concentric circles. 2 2

C. set of confocal hyperbolas. –j 


C. D.
2 2
D. set of confocal ellipses.
[2006 : 2 Marks] [2006 : 2 Marks]

2. The equation sin(z) = 10 has 8. If the semicircular contour D of radius 2 is


A. no real or complex solution as shown in the figure, then the value of
B. exactly two distinct complex solutions 1
C. a unique solution
the integral  (s2 – 1) dx is
D

D. an infinite number of complex solution.


[2008 : 1 Mark]
3. If x = –1 .then the value of x–x is

A. e– /2 B. e /2
C. x D. 1
[2012 : 1 Mark]
4. The real part of an analytic function f(z)
where z = x + jy is given by e–y cos(x).
The imaginary part of f(z) is
A. eycos(x) B. e–ysin(x)
C. –eysin(x) D. –eysin(x) A. j  B. –j
[2014 : 2 Marks]
C. – D. 
az + b
5. Let f(z) = . If f(z1) = f(z2) for all z1 ≠ [2007 : 2 Marks]
cz + d
9. The residue of the function
z2, a = 2, b = 4 and c = 5, then d should
be equal to _____. 1
f(z) = at z = 2 is
2
(z + 2) (z – 2)2
[2015 : 1 Mark, Set-2]
6. Which one of the following functions is 1 6
A. – B. –
analytic over the entire complex plane? 32 16
A. ℓn(z) B. cos(z) 1 1
C. D.
C. e1/z D.
1 16 32
1– z
[2009 : 1 Mark]
[2019 : 1 Mark]

60
www.gradeup.co

1 + f(z) 2
10. If f(z) = c0 + c1z–1, then  z
dz is B.  z dz = 0
unit c
circle
1 1
given by C.
2j  z dz = 1
c
A. 2c1 B. 2(1 + c0 )
D. z (complex conjugate of z) is analytical
C. 2jc1 D. 2j)(1 + c0 )
function.
[2009 : 1 Mark]
[2015 : 1 Mark, Set-1]
11. The residues of a complex function X(z)
15. If Denotes the counterclockwise unit circle,
1 – 2z
= at its poles are the value of the contour integral
z(z – 1)(z – 2)
1
1 1 1 1 2j  Re{z}dz is ____.
A. , – and 1 B. , – and –1 c
2 2 2 2
[2015 : 2 Marks, Set-2]
1 3 1 3
C. , 1 and – D. , –1 and
2 2 2 2 16. If C is a circle of radius r with centre z0, in
[2010 : 2 Marks] the complex z-plane and if n is a non-zero
–3z + 4 dz
12. The value of the integral  (z2 + 4z + 5) dz integer, then  (z – z n +1
equals
c 0)

where c is the circle |z| = 1 is given by A. 2nj B. 0


1 j
A. 0 B. C. D. 2n
10 2
4 [2015 : 1 Mark, Set-3]
C. D. 1
5
17. In the following integral, the contour C
[2011 : 1 Mark]
encloses the point 2j and – 2j
1 2
13. Given f(z) = – If C is a 1 sin z
z +1 z +3 –
2  (z – 2j)2 dz
c
counterclockwise path in the z-plane such
The value of the integral is ____.
1
that |z+1| = 1 the value of
2j  f(z)dz is [2016 : 2 Marks, Set-1]
c
18. Consider the complex valued function f(z)
A. –2 B. –1
= 2z3 + b |z|3 where z is a complex
C. 1 D. 2
variable. The value of b for which the
[2012 : 1 Mark]
function f(z) is analytic is ____.
14. Let z = x + iy be a complex variable.
Consider that contour integration is [2016 : 1 Mark, Set-2]

performed along the unit circle in 1 e2


anticlockwise direction. Which one of the
19. The values of the integral,
2  z–2
dz
c

following statements is NOT TRUE? along a closed contour c in anti-clockwise


z 1 direction for
A. The residue of 2
at z = 1 is
z –1 2

61
www.gradeup.co

(i) the point z0 = 2 inside the contour c, If C is defined as |z| = 3, then the value of
and I is
(ii) the point z0 = 2 outside the contour c, A. – sin(I) B. –2 / sin(I)
respectively, are C. –3 sin(I) D. –4 sin(I)
A. (i) 2.72, (ii) 0 B. (i) 7.39, (ii) 0
[2017 : 2 Marks, Set-2]
C. (i) 0, (ii) 2.72 D. (i) 0, (ii) 7.39
23. The contour C given below is on the
[2016 : 2 Marks, Set-3]
complex plane z = x + jy, where j = –1
sin(z)
20. For f(z) = the residue of the pole at z
z2
= 0 is ____.
[2016 : 1 Mark, Set-3]
21. The residues of a function f(z)
1
= is
(z – 4)(z + 1)3
1 dz
–1 –1 1 –1
The value of the integral
j  z2 – 1 is ____.
A. and B. and c
27 27 125 125
[2018 : 2 Marks]
–1 1 1 –1
C. and D. and 24. The value of the contour integral
27 5 125 5
2
1  1
[2017 : 1 Mark,
2j   z + z  dz
Set-2]
Evaluated over the unit circle |z| = 1 is
22. An integral I over a counter-clockwise
___.
circle C is given by
[2019 : 1 Mark]
z2 – 1 z
I=  z2 + 1 e dz
c

ANSWER

1. B 2. A 3. B 4. B 5. 10 6. B 7. D 8. A 9. A 10. D

11. C 12. A 13. C 14. D 15. C 16. B 17. –133.8 18. 0 19. 0 20. 1

21. B 22. * 23. 2 24. 0

62
www.gradeup.co

SOLUTION

1. Given, W = log c = 5, we get


ez
2Z1 + 4 2Z2 + 4
1 =
 u + iv = loge (x + iy) = log(x2 + y2 ) 5Z1 + d 5Z2 + d
2
20 (Z2 – Z1) = 2d (Z2 – Z1)
y
+i tan–1  
x  d = 10
Since, u is constant, therefore 6. ℓn(z) is not analytic at z = 0;

log (x2 + y2) =  c x2 + y2 = c and ez is also not analytic at z = 0 and


1
which is represented set of concentric is also not analytic at z = 0
1– z
circles.
But cos (z) is analytic over the entire
2. Since – 1 ≤ sin θ ≤ 1
complex plane,
therefore, it has no real or complex
Since cos z = cos (x + iy) = cosx cos (iy) –
solution exists.
sinx sin (iy)= cos x cos h y – i sin x sin h
3. x= –1
y;
Now i = –1 Now comparing with u + iv we get
x = i Where u(x, y) = cos x cos h y;
Now representing in polar co-ordinates v (x, y) = – sin x sin h y
x = Cos  /2 fi Sin  /2 ux = – sin x.cosh y vx = – cos x.sinh y
e  /2 i uy = cos x sinh y vy = – sin x cosh y

   1 1
Now X–x =  e i  – i 7. Given, =
 2  2
z +4 (z + 2j)(z – 2j)

e  /2 = ( i2 = –1 ) Pole (0, 2) lies inside the circle |z – j| = 2


By Cauchy’s Integral formula,
 option (b) is correct
2j 
4. Real part u = e–r cos x and V = ? I= =
(2j + 2j) 2
v v u u
dv = dx + dy = dx + dy 1
x y y x 8. D ds = 2j (sum of Residue)
( 2
s –1 )
(Using C – R equations)
Singular points are s = ± 1
= e–y cos x dx – e–y sin x dy= d [e–y sin x]
Only s = + 1 lies inside the given contour
Integrating, we get V = e–y sin x
Residue s = + 1 = lim(s – 1)f(s)
aZ + b s →1
5. F(Z) =
cZ + d 1 1 1
= lim(s – 1) = = 2j   = j
Now F(Z1) = F(Z2) s →1
(s
2
–1 ) 2 2
aZ1 + b aZ2eb
 =
CZ1 + d CZ2 + d

Now putting values of a = 2, b = 4, and

63
www.gradeup.co

1 1 – 2Z 1 – 2(2) –3
9. f(z) = Residue at 2 = lim = =
2 2
(z + 2) (z – 2) Z →2 Z(Z – 1) 2(2 – 1) 2
Residue at z = a of order n is, defined as, –3z + 4
12.  z2 + 4z + 5 dz = 0
1  dn–1 n
 c
= lim  n–1 (z – a) f(z)
x → 0 n – 1  dz  Since z2 + 4z + 5 = (z + 2)2 + 1 = 0
 z =2
z = –2 ± j will be outside the unit circle.
= Rest f(z)z =2
Since the poles lies outside the circle |z| =
d  d 1  1, f(z) is analytic everywhere and
= (z – 2)2 f(z) =
3

 dz z =2  dz (z + 2)  z =2
 c f(z).dz = 2i(0) = 0 .
1
=– 1 2 –z + 1
32 13. f(z) = – ;f(z)
z +1 z +3 (z + 1)(z + 3)
10. f(z) c0 +c1 z–1
Pole at z = –1 lies within the circle |z + 1|
1 + c0 + c1z–1 = 1 and
f(z) =  dz
z
Pole at z = –3 lies outside the circle |z +1|
 (1 + c0 )z + c1 
=  
 z2
 dz

=1

 f(z) = 2  j (residue of f(z))  f(z).dz = 2i (Sum of the residues at the

Residue at z = 0 (2 – order) Singular points within C)

1 d  2 (1 + c0 )z + c1  1 1 –z + 1
= z = (1 + c0) . 1 or,  f(z).dz = Res f(–1) = Lt =1
L1 dz  z2
 L1 2i c
z →1 z+3

= (1 + c0) 14. F(Z) = Z


f(z) = 2  j (1 + c0) Now Z = x + i y
1 – 22
11. X (Z) =  Z= x – i y
Z(Z – 1)(Z – 2)
4 = x, V = – y
The poles of the given function are at 0, 1,
4x = 1, Vx = 0
2 now Residue at a point ‘a’ is given by
uy = 0, Vy = – 1
lim  1 – 2Z 
=  
2a  Z(Z – 1)(Z – 2)   4x ≠ Vy  Z is not analytic function
1
2i 
 1 – 2Z  15. De{Z}
 Residue at 0 lim  
Z →0  (Z – 1)(Z – 2) 

1 1 Z+Z
= = Now Re {Z} =
(–1)(–2) 2 2
and in Re (Z), there is no pole
1 – 2Z 1–2
Residue at 1 = lim =  Reduce at poles is zero.
Z →1 Z(Z – 2) 1(1 – 2)
+1 1
2i 
= =1  Re{Z}dZ = 0
+1

64
www.gradeup.co

dZ sin(Z)
16.  (Z – Z n +1 20. F(Z) =
0) Z2
Now using cauchy integral formulas use Now Residue at Z = 0
get  Z3 Z5 
Z– + + .... 
2iF(Z0 ) 2i 1 Z! 5!
dZ
 (0) = 0 = coefficient of in  
 (Z – Z n +1
=
n!
=
n! Z  Z2 
0)  
 
1 sin Z
17. I = –
2  (Z – 2j)3 dz 1 1 Z Z3 Z5 
= coefficient of in  – ++ – +
2  Z 3! 5! 7! 
Applying Cauchy integral formula 
=1
–1 2jF "(2j)
I= 
2 2! 1
21. F(Z) =
(Z – 4)(Z + 1)3
Now F (Z) = SinZ
Here poles are at z =4 and z = –1
 F’(Z) = –SinZ
Residence of F(Z) at Z = 4
–1 (– sin(2j)) –1
I =  2j = Sin (h2  )= 1 1 1
2 2 2 lin(Z – 4)  = =
3 3 125
Z–4 (Z – 4)(Z + 1) (4 + 1)
– 133.8
and residue of F(Z) at Z = –1 is
18. From the question, the complex variable
4 d  1 
function is: lim  (Z – 1)
3

2 →−1 2! dZ2
 (Z – 4)(Z + 1)3 
f(z) = 2z3 + b1 |z|3
Give f(z) is analytic. 1 2  1 –1
lim   = =
which is possible only when b = 0 2 →−1 2! (Z – 4)

3
 (–1 – 4)3 125

since |z3| si differentiable at the origin but Z2 – 1 Z


not analytic.
22. I =  Z2 + 1 e dZ
c
2z3 is analytic everywhere
Poles are at Z2 + 1= 0
f(z) = 2z + b |z | is analytic
3 3
 Z2 = –1
only when b = 0  Z2 = 0 i2
1 ez Z = ± i
19.
2  c z – 2 dz
Now path the pole at –i and +i lies inside
For Z0 = 2 (inside a circle) |Z| = 3 Now residue at Z = –i

lim ez = e2 = 7.39For Z0 = 2 li??? outside Z2 – 1


z →2 = lim(z + i) ez
zj–i Z2 + 1
circle
 Residue F(Z) = 0 = lim (z + i)
(Z 2
–1 )e z lim(z + i)
(Z 2
–1 ) ez

ez 1
z–s–i
(Z 2
–i )2 z →–i (Z – i)(Z + i)

  z–2
dZ = 2i 
2i
 (0) = 0
i2 – 1 i –2 i –1 i
c e=
= e = e = ie1
i+i 2i i

65
www.gradeup.co

23.  Singular point z = 0; which lies inside


unit circle |z| = 1

 Using Cauchy’s generalization integration


formula, we get.

f n–1 ( z ) 
f(z) 0 
 dz = 2j 
c ( z – z0 )n (n – 1)!
1 dz  2
( )
2
i C z2 – 1 ( )
2
z2 + 1  z +1 
  dz = 2j  
z = 0;
 1 dz 1 dz  ( z – 0)2 1!
= 2 
 2i C (z + 1)(z – 1) 2i C (z + 1)(z – 1) 
+
 1 2  = 2  j[2(z2 + 1)(2z)]z=0= 2  j[0] = 0.

  1   1   From eq. (i) we get,


= 2 –   +  
  z – 1  z =–1  z + 1  z =1 
(z )
2
2
1  1
2
1 +1
 
= 2 –  – 1  +  1   = 2
  z + z  dz = 
2j 2j ( z – 0)2
  2   2 
1
1  1
2
1 (z
2
+1 ) dz ...(i)
2
=
2j
(0) = 0
24.
2j   z + z  dz = 2j
 
 z2

❖❖❖❖

66
www.gradeup.co

67
5
www.gradeup.co

Numerical Methods

Analysis of Previous GATE Papers

2017 Set 1

2017 Set 2

2016 Set 1

2016 Set 2

2016 Set 3

2015 Set 1

2015 Set 2

2015 Set 3
2020

2019

2018
Year →
Topic
Topic ↓

MCQ Type 1
1 Mark
Numerical Type
Solution of Non-Linear
MCQ Type
Equations 2 Marks
Numerical Type
Total 1
MCQ Type 1
1 Mark
Numerical Type 1
Single & Multi-Step
MCQ Type
Method for Different Equation 2 Marks
Numerical Type 1 1 1
Total 1 2 2 1 2

68
www.gradeup.co

SOLUTIONS OF NON-LINEAR EQUATIONS 2 4


A. B.
3 3
1. How many distinct values of* satisfy the
3
x C. 1 D.
equation sin (x) = , where x is in 2
2
[2007 : 2 Marks]
radians?
6. The recursion relation to solve x = e–x
A. 1 B. 2
using Newton-Raphson method is
C. 3 D. 4 or more
A. xn+1=e–xn
[2006 : 1 Marks,Set-2]
B. xn+1=xn– e–xn
2. For the function e–x, the linear
e− xn
approximation around x = 2 is C. xn+1= (1 + xn )
1 + e− xn
A. (3 – x)e–2
B. 1 – x xn2 − e−xn(1 + xn ) − 1
D. xn+1=
xn − e−xn
−2
C. 3 + 2 2 − (1 + 2) e
  7. Consider a differential equation
D. e –2
dy(x)
− y(x) = x with the initial condition
[2007 : 1 Mark] dx
3. The maximum value of θ unit which the y(0) = 0. Using Euler’s first order method
approximation sinθ = 0 holds to within with a step size of 0.1, the value of y(0.3)
10% error is is
A. 10° B. 18° A. 0.01 B. 0.031
C. 50° D. 90° C. 0.0631 D. 0.1
[2013 : 1 Mark] [2010 : 2 Marks]
4. A polynomial f(x)=a4x4+a3x3+a2x2+a1x–a0 8. A numerical solution of the equation f(x)=
with all coefficients positive has
x x − 3 = 0 can be obtained using Newton-
A. no real roots.
Raphson method. If the starting value is x
B. no negative real root.
= 2 for the integration, the value of x that
C. odd number of real roots.
is to be used in the next step is
D. at least one positive and one negative
A. 0.306 B. 0.739
real root.
C. 1.694 D. 2.306
[2013 : 1 Mark]
[2011 : 2 Marks]

SINGLE & MULTI-STEP METHODS FOR 9. Match the application to appropriate

DIFFERENT EQUATION numerical method.


Application
5. The equation x – x + 4x – 4 = 0 is to be
3 2
P1: Numerical integration
solved using the Newton-Rap son method. P2: Solution to a transcendental equation
If x= 2 is taken as the initial approximation P3: Solution to a system of linear
of the solution, then the next equations
approximation using this method will be

69
www.gradeup.co

P4: Solution to a differential equation Runge-Kutta method with step-size h =


Numerical Methods 0.1 is ___.
M1: Newton-Raphson Method [2016 : 1 Mark,Set-3]
M2: Runge-Kutta Method 12. The ordinary differential equation
1 dx
M3: Simpson’s rule = −3x + 2, with x(0) = 1;
3 dt
M4: Gauss Elimination Method is to be solved using the forward Euler
A. P1–M3, P2–M2, P3–M4, P4–M1 method. The largest time step that can be
B. P1–M3, P2–M1, P3–M4, P4–M2 used to solve the equation without making
C. P1–M4, P2–M1, P3–M3, P4–M2 the numerical solution unstable is ____.
D. P1–M2; P2–M1, P3–M3, P4–M4 [2016 : 2 Marks,Set-2]
[2014 : 1 Mark,Set-3] 13. Starting with x = 1, t he solution of the
10. The Newton-Raphson method is used to
equation x3 + x = 1, after two iterations of
solve the equation f(x) = x3–5x2+x–8 = 0.
Newton Raphson’s method (up to two
Taking the initial guess as x = 5, the
decimal places) is ____.
solution obtained at the end of the first
[2017 : 2 Marks,Set-1]
iteration is ____.
14. Consider p(s) = s3+a2s2+a1s+a0 with all
[2015 : 2 Marks,Set-3]
real coefficients. It is known that its
11. Consider the first order initial value
derivative p(s) has no real roots. The
problem y = y + 2x – x2, (0)1,(0≤x≤  )
number of real roots of p(s) is
with exact solution y(x) = x2+ex. For x =
A. 0 B. 1
0.1 the percentage difference between the
C. 2 D. 3
exact solution and the solution obtained
[2018 : 1 Mark]
using a single iteration of the second-order

ANSWER

1. C 2. A 3. B 4. D 5. C 6. C 7. B 8. C 9. B 10. 4.2903

11. 0.06 12. 0.66 13. 0.68 14. B

70
www.gradeup.co

SOLUTION

1. Here the given equations is  for 50° → sinθ  θ doesnot hold with in
x 10% error for 90°
sin (x) = (where x is in radians)
2
90   
90 = = = 1.571
180 2
Now sin 90 = 1
 for 90 → sinθ = θ doesnot hold with in
10% error
Hence maxm value of θ for approximation

Hence there are 3 distinct values within 18% error is 18°

2. f(x) = e 4. According to Routh ??? criterea,

Lenear approximation at x = 2 x4 a4 a2 –a0

L(x) = F(a)+F’( a)(x–a)at x = a x3


a3 a1

 L(x) = F(2)+F’(a)(x–2) x2 x

Now F(2)=e–2 and F’(x) = –e–2 x1


a1

 F’(2)=e–2 x0 –a0

 L(x) = e–2 + (–e–2)(x–2) = e–2[1–(x–2)] a4a1 − a2a3


Now x =
a3
= e–2(3–x)
 option (a is correct) form above table, there is atlest one sign

3.  radian = 180° change

 ? 10°  There will be at least one positive and


negative real roots.
10
 = 0.1745
180 5. y(t) = x3 – x2 + 4x – 4 = 0; x0 = 2

Now sin 10° = 0.1736 Next approximation

 for 10°, sinθ = θ holds with in 10% f(x0 ) x3 − x20 + 4x0 − 4


x1 = x0 − = x0 − 0
error for 18° f '(x0 ) 3x20 − 2x0 + 4

18 8 4
18 − = 0.3142 = x2 − =
180 12 3
sin 18°=6.3090 6. Given: f(x) = x – e–x
 for 18° → sinθ  σ holds with in 10% By Newton Raphson method,
error for 50° f(xn ) x − e−xn
xn+1 − xn − = xn − n
50 f '(xn ) 1 + e−xn
50 − = 0.8727
180
e−xn
= (1 + xn ) −
Now sin 50° = 0.766 1 + e−xn

71
www.gradeup.co

dy(x) 9. Option B is correct.


7. Given: − y(x) = x;y(0) = 0
dx 10. f(x) = x3 – 5x2 + 6x – 8

h = 0.1 y(0.3) = ? x0 = 5
f(x) = 3x2 – 10x + 6
x1 = 0; y1 = 0
By Newton-Raphson method
 dy 
  dx  = 0 − 0 = 0 f(x0 ) f(5)
 1 x1 = x0 − =5−
f '(x0 ) f '(5)
 dy 
y2 = y1 + h   = 0 + 0.1 × 0 = 0 22
 dx 1 =5− = 5 − 0.7097 = 42903
31
x2 = x1 + h = 0.1 11. Given the first order initial value problem is
y2 = 0 = x2 + y2(x) dy
= y + 2x − x2
 dy  dx
  dx  = 0.1 + 0 = 0.1
 2 y(0) = 1
0 ≤ x <
 dy 
y3 = y2 + h   = 0 + 0.1 × 0.1 = 0.01
 dx 2 Given f(x,y) = y + 2x – x2
x0 = 0,
x3 = x2 +  = 0.2
y0 = 1,
y3 = 0.01
h = 0.1
 dy  k1= hf(x0,y0)= 0.1(1 + 2(0)–02) = 0.1
  dx  = x3 + y3(x)0.2 + 0.01 = 0.21
 3 k2 = hg(x0 + h,y0 + k1)
 dy  = 0.1(y0 + k1) + 2((x0 + h)–(x0+h)2)
y4 = y3 + h   = 0.01 + 0.1 * 0.21
 dx 3 (since h = 0.1)

= 0.01 + 0.021 = 0.031 = 0.1((1+0.1)+2(0.1)–(0.1)2)


= 0.1(1.1+0.2–0.01) = 0.129
x4 = x3 +  = 0.3,
y4 = 0.31  y1= y0 + 1 (k1 + k2 ) = 1 + 1 (0.1 + 0.129)
2 2
 y(0.3) = 0.031 (since k1 = 0.1 and k2 = 0.129)
f(xn ) 1 + 0.1145 = 1.1145
8. Xn+1 = xn −
f '(xn ) For exact solution
y(x) = x2 + ex
f(x0 ) = f(2) = (2 2 − 3) = 2 − 1
y(0.1) = (0.1)2 + e0.1= 0.01 + 1.1050
1 2 2 +1 = 1.1152
and, f’(x0)=f’(2)= 1 + =
2 2 2 2 error = 1.1152 – 1.1145 = 0.00069

f(X0 ) error
X1 = X0 − Relative error =
f '(X0 ) y(x)
0.00069

 X1 =2−
( 2 −1 ) = 1.694 =
1.1152
= 0.00062

2 2 +1 Percentage Error = (0.00062 × 100)%


2 2 = 0.06%

72
www.gradeup.co

12. 0.66 is the correct answer. 3


Now for x1 =
4
13. F(x) = r3 + x – 1
Now F(1) = 1 + 1 – 1= 1and F’(x) 3
F 
F(x1 ) 3  4  = 0.68
= 3x2 + 1 x2 = x1 =
F '(x1 ) 4 3
F’(1) = 3 + 1=4 F' 
4
Now according to Newton–raphson method
14. Here degree of p(s) is ‘3’, so it will have
F(xi)
xi +1 = xi − three roots.
F '(x1)
P’(s) = 3s2 + 2a2s + a1 will have two roots
F(x0 ) 1 3
 x1 = x0 − =1− = and has no real root
F '(x0 ) 4 4
 p(s) will have one real root.

❖❖❖❖

73
www.gradeup.co

74
6
www.gradeup.co

Probability and
Statistics

Analysis of Previous GATE Papers

2017 Set 1

2017 Set 2

2016 Set 1

2016 Set 2

2016 Set 3

2015 Set 1

2015 Set 2

2015 Set 3
2020

2019

2018
Year →
Topic
Topic ↓

MCQ Type 1
1 Mark
Numerical Type 1 1 1 1
Probability
and MCQ Type 1 1 1
Statistics 2 Marks
Numerical Type
Total 1 1 2 1 2 1 1 2

75
www.gradeup.co

1. A fair dice is rolled twice. The probability A. 0.5 B. 0.18

that an odd number will follow an even C. 0.12 D. 0.06

number is [2007 : 2 Marks]

1 5. A fair coin is tossed 10 times. What is the


1
A. B.
2 6 probability that ONLY the first two tosses
will yield heads?
1 1
C. D. 2 2
3 4 1 10 1
A.   B. C2  
[2005 : 1 Mark] 2 2
2. A probability density function is of the form 10 10
1 10 1
C.   D. C2  
p(x)=Ke–a|x| ,x  (− , ) 2 2
The value of K is [2009 : 1 Mark]
A. 0.5 B. 1 6. Consider two independent random
C. 0.5 a D. a variables X and Y with identical
[2006 : 1 Mark] distributions. The variables X and /take
3. Three companies X,Y and Z supply 1 1
values 0, 1 and 2 with probabilities ,
computers to a university. The percentage 2 4

of computers supplied by them and the 1


and respectively. What is the conditional
4
probability of those being defective are
probability P(X + Y = 2|X – Y = 0)?
tabulated below
1
Company % of computers Probability of A. 0 B.
16
supplied being defective
1
X60%0.01 C. D. 1
6
Y 30%0.02
[2009 : 2 Marks]
Z 10%0.03
7. A fair coin is tossed independently four
Given that a computer is defective, the
times. The probability of the event “the
probability that it was supplied by Y is
number of times heads show up is more
A. 0.1 B. 0.2
than the number of times tails show up is
C. 0.3 D. 0.4
1 1
[2006 : 2 Marks] A. B.
16 8
4. An examination consists of two papers,
1 5
Paper 1 and Paper2. The probability of C. D.
4 16
failing in Paper 1 is 0.3 and that in Paper 2
[2010 : 2 Marks]
is 0.2. Given that a student has failed in
8. A fair dice is tossed two times. The
Paper 2, the probability of failing in Paper
probability that the second toss results in a
1 is 0.6. The probability of a student failing value that is higher than the first toss is
in both the papers is

76
www.gradeup.co

2 2 14. If calls arrive at a telephone exchange


A. B.
36 6 such that the time of arrival of any call is
5 1 independent of the time of arrival of earlier
C. D.
12 2
or future calls, the probability distribution
[2011 : 2 Marks]
function of the total number of calls in a
9. A fair coin is tossed till a head appears for
fixed time interval will be
the first time. The probability that the
A. Poisson B. Gaussian
number of required tosses is odd, is
C. Exponential D. Gamma
A.1/3 B. 1/2
[2014 : 1 Marks,Set-4]
C.2/3 D. 3/4
15. Suppose A and B are two independent
[2012 : 2 Marks]
events with probabilities P(A) ≠ 0 and P(B)
10. In a housing society, half of the families
≠ 0. Let A and B be their complements.
have a single child per family, while the
Which one of the following statements is
remaining half have two children per
FALSE?
family. The probability that a child picked
A
at random, ha a sibling is _____. A. P(A  B)=P(A)P(B) B. P   = P(A)
B
A. 0.067 B. 0.073
C. 0.082 D. 0.091 C. P(A  B) = P(A)+P(B) D.

[2014 : 1 Mark,Set-3] ( ) ( )
P(A  B) = P A P B
11. An unbiased coin is tossed an infinite
[2015 : 1 Mark,Set-1]
number of times. The probability that the
16. A fair die with faces {,1,2,3,4,5,6} is
fourth head appears at the tenth toss is
thrown repeatedly till ‘3’ is observed for
A. 0.067 B. 0.073
the first time. Let X denote the number of
C. 0.082 D. 0.091
times the die is thrown. The expected
[2014 : 1 Mark,Set-3]
value of X is_____.
12. A fair coin is tossed repeatedly till both
[2015 : 2 Marks,Set-3]
head and tail appear at least once. The
average number of tosses required is____.
17. Two random variables X and Y are
[2014 : 2 Marks,Set-3]
distributed according to
13. Parcels from sender S to receiver R pass
sequentially through two post-offices. Each 
fx,y (x, y) = (x + y) 0  x 1 0  y 1
 0 otherwise
1
post-office has a probability of using an The probability P(X +Y ≤ 1) is ____.
5
[2016 : 2 Marks,Set-2]
incoming parcel, independently of all other
parcels. Given that a parcel is lost, the 18. The second moment of a Poisson-

probability that it was lost by the second distributed random variable is 2. The mean

post-office is ____. of the random variable is _____.

[2014 : 2 Marks,Set-4] [2016 : 1 Mark,Set-1]

77
www.gradeup.co

19. The probability of getting a “head” in a 21. Passengers try repeatedly to get a seat
single toss of a biased coin is 0.3. The coin reservation in any train running between

is tossed repeatedly till a “head” is two stations until they are successful. If

obtained. If the tosses are independent, there is 40% chance of getting reservation

then the probability of getting “head” for in any attempt by a passengers, their the
average number of attempts that
the first time in the fifth toss is _____.
passengers need to make to get a seat
[2016 : 1 Mark,Set-3]
reserved is _____.
20. Three fair cubical dice are thrown
[2017 : 2 Marks,Set-2]
simultaneously. The probability that all
22. Let X1,X2,X3 and X4 be independent normal
three dice have the same number of dots
random variables with zero mean and unit
on the faces showing up is (up to third
variance. The probability that X4 is the
decimal place)_____. smallest among the four is ____.
[2017 : 1 Mark,Set-3] [2018 : 1 Mark]

ANSWER

1. D 2. C 3. D 4. B 5. C 6. C 7. D 8. C 9. C

10. 0.5 to 0.68 11. C 12. 1.99 to 2.01 13. 0.44 14. A 15. C 16. 6 17. 0.33

18. 1 19. 0.07203 20. 0.028 21. 2.5 22. 0.25

SOLUTION

1 1 1 K  ax 0 K  ax 
1. Required Probability =  =  e + e =1
2 2 4 a   − −a  0
(Independent events)
 K = 0.5 a
2. Here P(x) is probability density function
3. Probability that product supplied by ‘y’ and

P(snd)
 P(x)des = 1 was found dfechre P(s/d)
P(d)
where’s
−

 −ax
Ke , x  0 denotes supply by ‘y’ and ‘d’ denote
Now P(x) = Ke–a(x)  P(x) = 
−ax
Ke , x  0
 defective.

0 
Now P (snd) = 0.3 × 0.02=0.006
ax −ax
  Ke dx +  Ke dx = 1 and P (d) = 0.6 × 0.01 + 0.03 + 0.02
− 0
+ 0.1 × 0.03 = 0.015

78
www.gradeup.co

P(snd) 0.006 6 2 15 5
Now P(s/d) = = = = =0.4  Probability = =
P(d) 0.015 15 5 36 12
4. Probability of failing in paper –1 is P (A) = (1, 1) (2, 1) (3, 1) (4,1), (5, 1) (6, 1)
0.2 and Probability of failing in paper –2 is 9. P(odd tosses) = PP(H) + P(TTH)
P(B) = 0.3 and P(A/B) = 0.6 + P(TTTTH) + ...
Now P(A/B) 1 1
3
1
5
= +   +   + ....
Required Probability P(AnB)=P(A/B)×P(B) 2 2 2
= 0.6 × 0.3 = 0.18
1 
2 4
 1 1
5. Desired outcomes = {H, H, T,T,T,T,T,T, = 1 +   +   + ....
2 2 2 
T,T}}  

1 
Probability that the first toss will yield head 2
1 1
= 1 +   +   + .....
=
1 2 4 4 

2
Probability that second toss will also yield 1 1  1 4 2
= =  =
2  1 2 3 3
1 1− 
head = and similarly from 3rd toss till  4
2
10. Let the number of families in housing
1
10th toss will yield tail = society be x.
2

1 1
2 8  x family have single child.
Required Probability =      2
2 2
 Total x children
10 2
1 1
=  =
2 1024 x
Now remaining families have 2 children.
2
6. Option C is correct.
7. Desired Outcomes {HHHH, HHHT, HHTH,
 Total x × 2 = x, sibling children
2
HTHH, THHH} Probability that a child picked at random
Now probability of each event x x2 2
has a sibling = = = = 0.667
1 1 1 1 1 x 3x 3
 2   2  2  2 = 16
x+
  2
11. P [fourth head appears at the tenth toss]=P
Now as all events are mutually exclusive
[getting 3 heads in the first 9 tosses and
1 1 1 1 1
 total probability = + + + + one head at tenth toss]
16 16 16 16 16
5  9
1 1  21
=  9 C3     = = 0.082
16  2   2  256
8. Total number of cause = 36
12. In this problem random variable is L
Total number of favorable causes
L can be 1, 2, ........
=5+4+3+2+1
= 315

79
www.gradeup.co

1 1 1
(1 − x)2
P{L = 1} = (x − x2 )dx +
2 =   2 dx
x =0 x =0
1
P{L = 2} = 1
4 1  1 x2   x x2  1 1
1   2 − 2  dx =  2 − 6  = 2 − 6
P{L = 3} = x =0    0
8
1
1 1 1 1 = = 0.33
H{L} = log2 + log2 3
2 1/2 4 1/4
18. Here, E(x2) = 2
1 1  V(x) = E(x2) – (E(x))2
+ log2 + ....
8 1/8
Let mean of the poisson random variable
1 1 1 be x.
= 0 + 1. + 2. + 3. + .....
2 4 8 x = 2 – x2
[Arithmatic geometric series summation] (Since V(x) = x and E((x))2 = x2)
1 x2 + x – 2 = 0
1
2 2
= + =2 x2 + 2x – x – 2 = 0
1  1
2
1−
2 1 − 2  x(x + 2) – 1 (x + 2) = 0
 
(x – 1) (x + 2) = 0
13. Probability that parcel was lost at post
 x = 1, –2
1
office – 1 = and probability that parcel Mean of random variable (λ) = 1
5
19. The probability of getting “head” for the
4 1 first time in fifth toss
was lost at post office – 2 = 
5 5
P = (0.7)4 (0.3) = 0.07203
Total probability that parcel is lost 20. when three dice are thrown
4 / 25 Total number of possible cases = (6)3
= = 0.44
9 / 25 = 216
14. Option A is correct. Outcomes for all three dice having same
15. Option C is correct. number are,
16. 6 is the correct answer.  (1,1,1) (2,2,2) (3,3,3) 
 
17. The probability P(X + Y ≤ 1) (4, 4, 4) (5,5,5) (6, 6, 6)

1 (1− x) 1 (1 − x) Hence number of favourable cases = 6


=   fXY (x, y)dxdy =   (x, y)dxdy
 Required probability
x =0 y =0 x =0 y =0
Number of favourable cases
1 1− x =
 y2  Total number of outcomes
=   xy 
 2 
x =0  0 6 1
= = = 0.028
1 
216 36
(1 − x)2 
=   x(1 − x) +
 2
 dx

x =0  

80
www.gradeup.co

21. There is 40% Chance of getting reservation 2


2 2 3 2 3
= 1 + 2   + 3    + .....
 probability of getting reservation 5 5 5 5 5
40 2
= =  E[X] = 10 = 2.5
100 5 4
 Probability of not getting reservation 3! 32
22. P(X4 is smallest) = =
2 3 4! 4  3  2
= 1− =
5 5 1
= = 0.25
4
Now E[X] = ΣxiP(xi)

❖❖❖❖

81
7
www.gradeup.co

Transform Theory

82
www.gradeup.co

1
www.gradeup.co

SYLLABUS

C ircuit analysis: Node and mesh analysis, superposition, Thevenin's theorem,


Norton’s theorem, reciprocity. Sinusoidal steady state analysis: phasors, complex
power, maximum power transfer. Time and frequency domain analysis of linear
circuits: RL, RC and RLC circuits, solution of network equations using Laplace transform.
Linear 2-port network parameters, w ye-delta transformation.

CONTENT
S.No. Chapter Page No.

1. Basics of Circuit Theory ……………………………………………..……………………………………. 4-15

➢ Types of Circuit and sources …………………………………………………..…………………….…………… 5


➢ Circuits Element (Resistor, Inductor & Capacitor)………………………...…………………................ 5
➢ Kirchoff’s Law ………………………………………………………………………………...………………………... 5
➢ Wye-Delta Transformation ………………………………………………………………...……………………... 7
➢ Nodal and Mesh Analysis ………………………………………………………………………...………………... 8
Answer ………………………………………………………………………………………………………………………. 10
Solution ……………………………………………………………………………………………………………………... 10-15

2. Circuit Theorems …………………………………………………………………………….………………. 17-25

➢ Superposition …………………………………………………………………………………………………………... 18
➢ Thevenin & Norton Theorem ………………………………………................................................................ 18
➢ Maximum Power Transfer Theorem ………………………………………………………………………….. 19
➢ Reciprocity Theorem ………………………………………………………………………………………………... 20
Answer ………………………………………………………………………………………………………………………. 20
Solution ……………………………………………………………………………………………………………………... 21-25

2
www.gradeup.co
S.No. Chapter Page No.

3. Steady State Analysis ………………………………………………………………………………………. 27-48

➢ A.C. Fundamentals …………………………………………………………………………………………….……… 28


➢ 1-Phase & 3-Phase Circuit Analysis ………………………………………................................................... 28
➢ Circuit Theorems ……………………………………………………………………………………………………… 30
➢ Resonance ……………………………………………………………………………………………………………...... 33
➢ Magnetically Coupled Circuit …………………………………………………………………………………..… 34
Answer ………………………………………………………………………………………………………………………. 36
Solution ………………………………………………………………………………………………………………….….. 38-48

4. Transient Analysis …………………………………………………………………………………..………. 52-69

➢ First Order Circuits ………………………………………………………………………………………………..…. 53


➢ Second Order Circuits ……………………………………….............................................................................. 57
Answer …………………………………………………………………………………………………………………..….. 60
Solution …………………………………………………………………………………………………………………….. 60-69

5. Two Port Networks …………………………………………………………………………………….……. 71-81

➢ Networks Parameters (Z, Y, H and T) ………………………………………………………………………… 72


➢ Interconnection of Networks ………………………………………................................................................ 75
Answer ………………………………………………………………………………………………………………………. 76
Solution ……………………………………………………………………………………………………………………... 76-81

6. Graph Theory …………………………………………………………………………………………….……. 82-84

➢ Linear Oriented Graphs ………………………………………………………………………………….………… 83


Answer ………………………………………………………………………………………………………………………. 83
Solution ……………………………………………………………………………………………………………………... 84

7. Network Functions ……………………………………………………………………………….…………. 85-90

➢ Transfer Function ……………………………………………………………………………………………..……… 86


➢ Driving Point Function ………………………………………............................................................................. 86
➢ Filter ………………………………………................................................................................................................. 87
Answer ………………………………………………………………………………………………………………………. 88
Solution ……………………………………………………………………………………………………………………... 88-90

3
1
www.gradeup.co

Basics of Circuit Theory

Analysis of Previous GATE Papers

2017 Set 1

2017 Set 2

2016 Set 1

2016 Set 2

2016 Set 3

2015 Set 1

2015 Set 2

2015 Set 3
2020

2019

2018
Year →
Topic
Topic ↓

MCQ Type
1 Mark
Numerical Type 1 1
Types of Circuits
MCQ Type
and Sources 2 Marks
Numerical Type
Total 1 1
MCQ Type
1 Mark
Numerical Type
Circuits Elements
MCQ Type
(Resistor, Inductor, Capacitor) 2 Marks
Numerical Type
Total
MCQ Type
1 Mark
Numerical Type
Kirchoff’s Law MCQ Type 1 1
2 Marks
Numerical Type 1 2
Total 4 6
MCQ Type
1 Mark
Numerical Type
Wye-Delta Transformation
MCQ Type 1
2 Marks
Numerical Type 1
Total 2 2
MCQ Type
1 Mark
Numerical Type
Nodal and Mesh Analysis
MCQ Type
2 Marks
Numerical Type
Total

4
www.gradeup.co

TYPES OF CIRCUITS AND SOURCES CRICUIT ELEMENTS


(RESISTOR, INDUCTOR CAPACITOR)
1. The voltage e0 in the figure is?
4. Consider a DC voltage source connected to
a series R-C circuit. When the steady-state
reaches, the ratio of the energy stored in
the capacitor to the total energy supplied
by the voltage source, is equal to
4 A. 0.362 B. 0.500
A. 2 V B. V
3
C. 0.632 D. 1.000
C. 4 V D. 8 V
[2015: 1 Mark, Set- 1]
[2001: 2 Marks]
2. A fully charged mobile phone with a 12 V KIRCHOFF’S LAW
battery is good for a 10 minute talk-time.
5. In the circuit of the figure, the value of the
Assume that, during the talk-time the
voltage source E is
battery delivers a constant current of 2 A
and its voltage drops linearly from 12 V to
10 V as shown in the figure. How much
energy does the battery deliver during this
talk-time?

A. -16 V B. 4 V
C. – 6 V D. 16 V
[2000: 1 Marks]
A. 220 J B. 12 kJ 6. Twelve 1 Ω resistances are used as edges
C. 13.2 kJ D. 14.4 J to form a cube. The resistance between
[2009: 1 Mark] two diagonally opposite comers of the cube
3. The circuit shown in the figure represents a is
5
A.  B. 1 Ω
6

6 3
C.  D. 
5 2
[2003: 2 Marks]
A. voltage controlled voltage source
7. If R4 = R2 = R4 = R and R3 = 1.1 R in the
B. voltage controlled current source
bridge circuit shown in the figure, then the
C. current controlled current source
reading in the ideal voltmeter connected
D. current controlled voltage source
between a and b is
[2014: 1 Mark, Set- 4]

5
www.gradeup.co

Common Data For questions 10 and


11: Consider the following figure:

10. The current (Is) in amps and voltage


A. 0.238 V B. 0.138 V source, (Vs) in volts across the current

C. – 0.238 V D. 1 V source respectively, are

[2005: 2 Marks] A. 13, -20 B. 8, -10


C. -8, 20 D. -13, 20
8. In the interconnection of ideal sources
[2013: 2 Marks]
shown in the figure, it is known that the 60
11. The current in the 1 Ω resistor in Amps is
V source is absorbing power.
A. 2 B. 3.33
C. 10 D. 12
[2013: 2 Marks]
12. Consider the configuration shown in the
figure which is a portion of a larger
electrical network

Which of the following can be the value of

the current source I?

A. 10 A B. 13 A

C. 15 A D. 18 A

[2009: 1 Mark]

9. It VA – VB = 6 V, then VC – VD is For R = 1 Ω and currents i1 = 2 A, i4 = —1


A, i5 = -4 A. Which one of the following is
TRUE?
A. i6 = 5 A
B. i3 = - 4 A
C. Data is sufficient to conclude that the
supposed currents are impossible
A. – 6 V B. 2 V
D. Data is insufficient to identify the
C. 3 V D. 6 V
currents i1, i2 and i6
[2012: 2 Marks]
[2014: 1 Mark, Set-1]

6
www.gradeup.co

13. The magnitude of current (in mA) through The magnitude of the current (in amperes,
the resistor R2 in the figure shown is accurate to two decimal places) through
the source is ………. ..
[2018: 2 Marks]
17. The voltage VC1, VC2 and VC3 across the
capacitors in the circuit in fig., under
steady state, are respectively.
[2014: 1 Mark, Set-4]
14. In the given circuit, the values of V1, and
V2 respectively are

A. 80V, 32 V, 48V
B. 80 V, 48 V, 32 V
C. 20 V, 8 V, 12 V
D. 20 V, 12 V, 8 V
[2018: 2 Marks]
A. 5 V, 25 V B. 10 V, 30 V
C. 15 V, 35 V D. 0 V, 20 V WYE-DELTA TRANSFORMATION
15. In the circuit shown in the figure, the
magnitude of the current (in amperes) 18. Consider a delta connection of resistors
through R2 is ……………. . and its equivalent star connection as
shown below. If all elements of the delta
connection are scaled by a factor k, k > 0,
the elements of the corresponding star
equivalent will be scaled by a factor of

[2016: 2 Marks, Set-2]


16. Consider the network shown below with R1
= 1 Ω, R2 = 2 Ω and R3 = 3 Ω. The
A. k2 B. K
network is connected to a constant voltage
source of 11 V. 1
C. D. k
k
[2013: 1 Mark]
19. A Y-network has resistances of 10 Ω each
in two of its arms, while the third arm has
a resistance of 11 Ω. In the equivalent Δ-
network, the lowest value (in Ω) among
the three resistances is …………. .
[2014: 2 Mark, Set-1]

7
www.gradeup.co

20. For the Y-network shown in the figure, the A. 48 V B. 24 V


value of R1 (in Ω) in the equivalent Δ- C. 36 V D. 28 V
network is ……………. , [2001: 2 Marks]
23. The dependent current source shown in the
figure

[2014: 2 Marks, Set-3] A. delivers 80 W B. absorbs 80 W


21. In the given circuit, each resistor has a C. delivers 40 W D. absorbs 40 W
value equal to 1 Ω. [2002: 1 Mark]
24. In the circuit shown, the voltage Vx (in
Volts) is …………

What is the equivalent resistance across


the terminals a and b?
1 1 [2015: 1 Mark, Set-3]
A.  B. 
6 3
25. In the figure shown, the current I (in
9 8
C.  D. 
20 15 Ampere) is ……………… .
[2016: 2 Marks, Set-2]

NODAL AND MESH ANALYSIS

22. The voltage e0 in the figure is

[2016: 2 Mark, Set-3]

8
www.gradeup.co

9
www.gradeup.co

ANSWER

1. C 2. C 3. C 4. B 5. A 6. A 7. C 8. A 9. A 10. D

11. C 12. A 13. (2.8) 14. A 15. (5) 16. (8) 17. B 18. B 19. (29.09) 20. (10)

21. A 22. D 23. A 24. (8) 25. (1)

SOLUTION

1. 4. Assuring the capacitor to be initially


uncharged. The voltage across the
capacitor.

2  −t

e0 = 12  = 4 volt V0 ( t ) = Vs 1 − eRC  volts, t  0
2+4  
2. Energy delivered by battery Using KVL for t > 0, -Vs + VR + VC = 0
E = P. t −t
−Vs + VR + Vs − VseRC = 0
= V.I.t = V.t × 2 A
−t
∴ Vt = Area under (V-t) curve VR = VSeRC
1 Power dissipated in the resistor
=  2  600 + 10  600 = 6600
2 −2t

⇒ E = 6600 × 2 = 13.2 kJ V2 V2e RC


= R = S
R R
3.
Energy dissipated on the resistor

VR2 V2  −2t
VS2 RC 1

0
R
dt = S
R  e RC dt =
0
R 2
. .1 = CVS2
2

Power in the capacitor = Vc(t). ic (t)


Cdvc ( t )
= vC ( t ) .
dt
The dependent source represents a current
 −t
 d  −t

controlled current source. = VS 1 − eRC  .c V
 s − Vs e RC

  dt  

10
www.gradeup.co

Total energy supplied If 60 V source is absorbing power than


1 1 current I will enter at positive terminal of
CVS2 + CVS2 = CVS2
2 2 60 V source. Now using KCL at node (1),
Energy stored in capacitor I’ + I = 12
1 I’ = 12 – I
= CVS2
2
I < 12 A
Energy stored in capacitor
= = 0.5 9.
Total energy sup plied

5. 10 + 5 + E + 1 = 0
⇒ E = - 16 V
6. Due to symmetric nature of circuit between
any two diagonals, the current will be
distributed symmetrically.

Current through 2 Ω.
VA − VB 6
Resistor, i = = = 3A
2 2
According to KCL (algebraic sum of all the
currents associated with a closed boundary
is zero).
i + 2 = I’
i' = 5 A
I I I VC – VD = - 5 × 1 = - 5 V
−Vab + 1  + 1 + 1 = 0
3 6 3
10.
Vab 5
= R ab = 
I 6
7. Voltmeter reading, Vin = Vpb – Vsp =
4.7519 – 5 = -0.238 volts
(replace it in last segment of solution)
8.

Current through 1 Ω resistor


10
= = 10A
1
Current through 2 Ω resistor
10
= = 5A
2

11
www.gradeup.co

Using KCL at hone (1), 14. Redrawing the given circuit we get
2A + Is + 10 + 5 ⇒ v1 – 0 = 4I
IS = - 13 A ⇒ v1 = 4 I (Ohm’s low)
∴ V1 = 10 V
VS – V1 = 5 × 2
Vs = 10 + 10 = 20 V
10
11. Current through 1 Ω resistor = = 10A
1
12. Given i1 = 2A, i4 = -1 A, i5 = - A
Applying KCL at
Node 1: i2 + i5 = i3
V1 V1
Node 2: i8 + i6 = i1 
4
+
4
+ 2I = 5 (KCL )
V1 V1 2V1
+ + =5
4 4 4
V1 = 5 Volt
⇒ V2 – V1 = 4 × 5 (Ohm’s law)
V2 = 25 Volt
15.

Node 3: i1 + i4 = i2
i i
+i +i +i =
2 5 6 4 2
-4 + i6 + (-1) = 0
i6 = 5 A
i 8 = i1 – i 6 = 2 – 5 = - 3 A Vx
I1 = (ohm’s law)
13. By source transformation 5
Vx
 i2 = −0.04Vx +
5
I2 = 0.16Vx
Applying KVL,
-60 + 5I2 + 3I1 + Vx = 0
Vx
5  0.16Vx + 3  + Vx = 60
By KVL, 5

20 – 10k.I + 8 = 0 2.4Vx = 60

28 Vx = 25 volt
I=
10k Vx
Current through R2 = I1 = = 5A
⇒ I = 2.8 mA 5

12
www.gradeup.co

16. As the given circuit is symmetric, the point For VC1


B and C are at same point, i.e., VB = VC so 40
VC1 = 100  = 80V
Current through R2 is zero. 50

Points D are E are also equipotential, For VC2 and VC3

hence, VD = VE. C3 3
VC2 = 80  = 80  = 48 V
C2 + C3 5

C2
VC3 = 80  = 16  2 = 32 V
C2 + C3

18.

R aRb
Rc = as Ra is scaled by factor
R a + Rb + R c

R 'a R 'b k2R a.Rb


17. In steady state, capacitors are open and R 'c = =
R 'a + Rb + R c k (R a + Rb + R c )
inductances are short.
R a  Rb
= k'
R a + Rb + c

So elements corresponding to star


equivalence will be scaled by factor k.
19.

13
www.gradeup.co

X = 29.09 Ω (c)
y = 32 Ω
z = 32 Ω

X=
(10) (10) + (10) (11) + (10) (11) 
11

Y=
(10) (10) + (10) (11) + (10) (11) 
10

Z=
(10) (10) + (10) (11) + (10) (11) 
10
i.e., Lowest value among three resistances (d)
is 29.09 Ω.
20.

R1 =
(7.5) (5) + (3) (5) + (7.5) (3) 
7.5
R1 = 10 Ω
21. (a)

4 4 4 8
R ab =  +  || = 
 5 5  5 15

22. Applying source transformation

(b)

14
www.gradeup.co

Applying nodal analysis Vx V V V


+ x − x + x =5
e0 − 16 e0 − 0 80 − e0 20 10 40 2
+ =
6 12 12 Vx = 8 volt
4e0 = 112 25. Nodal equation at node (1),
e0 = 28 volt 8 − V1 8 − V1 V V
+ = 1 + 1
23. KVL gives, 1 1 1 1
V  V1 = 4 Volt
20 = 5I +  1 + I  5
5  Applying KCL at node (2)
Or 20 = 5I + (4 + I) 5
8 − V1
I = 0 amp i1 = + 1 = 5A
1
∴ Power delivered = 42 × 5 = 80 W
Applying KCL at node (3)
24. Redrawing the given circuit, we get,
V1 − 0
i1 = − i1 = 4 − 5 = 1A
1

Applying KCL,
Vx vx − 0.25Vx
+ + 0.5Vx = 5A
20 10

❖❖❖❖

15
www.gradeup.co

16
2
www.gradeup.co

Circuit Theorem
B

Analysis of Previous GATE Papers

2017 Set 1

2017 Set 2

2016 Set 1

2016 Set 2

2016 Set 3

2015 Set 1

2015 Set 2

2015 Set 3
2020

2019

2018
Year →
Topic
Topic ↓

MCQ Type
1 Mark
Numerical Type

Superposition MCQ Type


2 Marks
Numerical Type

Total

MCQ Type 1
1 Mark
Numerical Type 1

Thevenin & Norton Theorem MCQ Type


2 Marks
Numerical Type 1 1

Total 1 2 2 1

MCQ Type 1
1 Mark
Numerical Type
Maximum Power
MCQ Type
Transfer Theorem 2 Marks
Numerical Type 1

Total 2 1

MCQ Type 1
1 Mark
Numerical Type

MCQ Type
2 Marks
Reciprocity Theorem Numerical Type 1

Total 1 2

17
www.gradeup.co

SUPERPOSITION 4. The impedance looking into nodes 1 and 2


in the given circuit is
1. In the figure shown, the value of the
current I (in Amperes) is …………….

A. 50 Ω B. 100 Ω
[2014: 1 Mark]
C. 5 Ω D. 10.1 k Ω

THEVENIN & NORTON THEOREM [2012: 1 Mark]


5. Norton's theorem states that a complex
2. For the circuit shown in the figure,
network connected to a load can be
Thevenin's voltage and Thevenin's
replaced with an equivalent impedance
equivalent resistance at terminals a—b is
A. in series with a current source
B. in parallel with a voltage source
C. in series with a voltage source
D. in parallel with a current source
[2014:1 Mark, Set-2]
6. In the circuit shown the Norton equivalent
resistance (in Ω) across terminals a-b is
A. 5 V and 2 Ω B. 7.5 V and 2.5 Ω
C. 4 V and 2 Ω D. 3 V and 2.5 Ω
[2005: 2 Marks]
3. For the circuit shown in the figure, the
Thevenin voltage and resistance looking
into X-Y are
[2015: 2 Marks, Set-2]
7. For the current shown in the figure, the
Thevenin equivalent Voltage (in Volts)
across terminals a-b is ……….. .

A. 4/3 V, 2 Ω B. 4 V, 2/3 Ω
C. 4/3 V, 2/3 Ω D. 4 V, 2 Ω
[2007: 2 Marks]

[2015: 1 Mark, Set-3]

18
www.gradeup.co

8. Consider the circuit shown in the figure.

A. 1 W B. 10 W
C. 0.25 W D. 0.5 W
The Thevenin equivalent resistance (in Ω)
[2005: 1 Marks]
across P-Q is …… .
12. In the circuit shown what value of RL
[2017: 2 Mark, Set-2]
maximizes the power delivered to RL?
9. In the circuit shown below, the Thevenin
voltage VTH is

8
A. 1.8 V B. 3.6 V A. 2.4 Ω B. Ω
3
C. 4.5 V D. 2.4 V
C. 4 Ω D. 6 Ω
[2020: 1 Mark]
[2009: 2 Marks]
MAXIMUM POWER TRANSFER THEOREM 13. In the circuit shown in the figure, the
maximum power (in watt) delivered to the
10. In the network of the figure, the maximum
resistor R is ………………… .
power is delivered to R if its value is

[2016: 2 Mark, Set-1]


40 14. In the circuit shown below, VS is constant
A. 16 Ω B. Ω
3
voltage source and IL is a constant current
C. 60 Ω D. 20 Ω
load.
[2002: 2 Marks]
11. The maximum power that can be
transferred to the load resistor RL from the
voltage source in the figure is

19
www.gradeup.co

The value of IL that maximizes the power circuit at Port 2 is measured to be 1 A (see
absorbed by the constant load is (a) in the figure).
Vs Vs Now, if an excitation of 5 V is applied
A. B.
4R 2R across port 2, and port 1 is shorted (see
Vs (b) in the figure), what is the current
C. D. ∞
R
through the short circuit at port 1?
[2016: 1 Mark, Set-2]

RECIPROCITY THEOREM

15. Use the data of the figure (a). The current


I in the circuit of the figure (b) is

A. -2A B. 2 A
C. -4 A D. + 4 A
[2000: 2 Marks]
16. Consider the two-port resistive network
shown in the figure. When an excitation of A. 1 A B. 2 A
5 V is applied across Port 1, and Port 2 is C. 2.5 A D. 0.5 A
shorted, the current through the short [2019: 1 Mark]

ANSWER

1. (0.5) 2. B 3. D 4. A 5. D 6. (4/3) 7. (10) 8. (-1) 9. B 10. A

11. C 12. C 13. (0.8) 14. B 15. C 16. A

20
www.gradeup.co

21
www.gradeup.co

SOLUTION

1. Using nodal analysis

Vth − 2i Vth Vth


+ + =2
1 1 2

Vth
−Vth + Vth + =2
2

Vth = 4 V
Applying KVL
-5 + 5 (I – 1) + 5I + 10 I = 0 For Rth:

20I = 10
I = 0.5 A
2. For Vth:

⇒ V = 1 × i’ = i’ (ohm’s law)

Using nodal analysis


Using nodal analysis
2i'− V V V
Vth − 0 Vth − 10 +1 = +
+ =1 1 1 2
5 5
V + 1 = V + 0.5 V
Vth
2 =3
5 V = 2 Volt
Vth = 7.5 volt V 2
 R th = = = 2
For Rth: 1A 1

4. Open-circuiting terminal 1-2

VOC = (99 ib + ib)100 = 104ib

Rth = 5 || 5 = 2.5 Ω
3.

Short-circuiting the terminal, we get

ISC = ib + + 99 ib

ISC = 100 ib
⇒ Vth = 1 × i = i Ohm’s law)

22
www.gradeup.co

7.

Therefore, impedance working into nodes 1


Applying nodal analysis
and 2,
12 − Vth V
+ 1 = th
V 3 6
Zth = OC = 100
ISC
Vth Vth Vth
4 +1 = + =
5. Norton’s theorem 6 3 2
Vth = 10 volts
8.

6. For RN:

V = 4 × I = 4 I (ohm’s law)

Nodal analysis at node (a)

4I − V V V Using ohm’s law


+1 = +
2 2 4
3i0
i= = 3i0
3V 1
0 +1 =
4 V
i0 = =V
4 1
V= Volt
3 Applying KVL in loop

V 4 -V + 3i0 + 1 (1 – i0) = 0
 RN = = 
1A 3 V = 2 i’0 + 1

23
www.gradeup.co

V=2V+1 11. For max. power transfer, RL = 100 Ω


V = -1 Volt Max. power transferred,
V Vth2 102
 R th = = −1  Pmax = = = 0.25 W
1A 4R L 4  100
9.
12. For max. power transferred: RL = Rth.
For Rth:

KVL in Loop (i)


(i×1) – 2 (3 – i) – 2 (1 – i) + 2 = 0
i – 6 +2i – 2 + 2i + 2 = 0
5i = 6 V’x = 4 I (Ohm’s law)
i = 1.2 A Using nodal analysis
∴ Vth = 2 × (3 – i) V 'x − V V 'x
+1−i =
= 2 × (3 – 1.2) 4 4

= 3.6 volts V
=1
4
10. To find maximum power delivered to RL,
V
we need to calculate Rth across RL.  R L = R th = = 4
1A
For Rth:
13. For Vth:

Using voltage division theorem,

V = 40 I’1 (Ohm’s law) 2


V0 = 5  = 2volt
2+3
Using nodal analysis at node (1)
40
V And Vth = 100V0 
0.5I'1 + 1 = + Ii ' 40 + 10
20
40
2.5 I’1 = 1 = 200  = 160 volt
50
1
I '1 = For Rth:
2.5
1
V = 40  = 16V
2.5

V
 R L = R th = = 16 
1A

24
www.gradeup.co

40  10
R th = K
40 + 10

Rth = 8 k Ω

Max. power delivered

Vth2 1602
= = = 0.8 W
4R th 4  8  103
Vi V
= 2
14. In maximum power transfer, half of the I1 I2

voltage drop across source resistance, 10 20 20


= i= = 4A
2 i 5
remaining half across the load.
16.
∴ Voltage across source (R)

Vs
ILR =
2

(from the given figure)

Vs
IL =
2R

15. According to reciprocity theorem for

networks shown below :

By reciprocity theorem,
Current I = 1 A
V V1 I1 
 = k, = 
I V2 I2 

❖❖❖❖

25
www.gradeup.co

26
3
www.gradeup.co

Steady State Analysis

Analysis of Previous GATE Papers

2017 Set 1

2017 Set 2

2016 Set 1

2016 Set 2

2016 Set 3

2015 Set 1

2015 Set 2

2015 Set 3
2020

2019

2018
Year →
Topic
Topic ↓

MCQ Type
1 Mark
Numerical Type
A.C.
MCQ Type
Fundamentals
2 Marks
Numerical Type

Total
MCQ Type
1 Mark
Numerical Type 1 1 1
1 Phase & 3-Phase Circuit
MCQ Type
Analysis
2 Marks
Numerical Type 1 1

Total 3 1 1 2
MCQ Type 1 1
1 Mark
Numerical Type 1 1

Circuit Theorems MCQ Type 1


2 Marks
Numerical Type 1

Total 2 2 1 2 1
MCQ Type
1 Mark
Numerical Type 1 1 1 1

Resonance MCQ Type 1 1


2 Marks
Numerical Type

Total 1 1 1 3 2
MCQ Type
1 Mark
Numerical Type

Magnetically Coupled Circuit MCQ Type


2 Marks
Numerical Type

Total

27
www.gradeup.co

A.C. FUNDAMENTALS A. 5sin(2t + 53.1°)


B. 5sin(2t – 53.1°)
1. A periodic variable x is shown in the figure
C. 25sin(2t + 53.1°)
as a function of time. The root-mean-
D. 25sin(2t – 53.1°)
square (rms) value of x is ……… .
[2004: 1 Mark]
4. For the circuit shown in the figure, the time
constant RC = 1 ms. The input voltage is

vi ( t ) = 2 sin103 t . The output voltage v0(t)

is equal to

[2014: 2 Marks, Set-1]

1-PHASE & 3-PHASE CIRCUIT ANALYSIS

2. An input voltage v(t)

= 10 5 cos ( t + 10) + 10 5 cos (2t + 10°) V

is applied to a series combination of A. sin(103t – 45°)


resistance R = 1 Ω and an inductance L = B. sin(103t + 45°)
1 H. The resulting steady-state current i(t) C. sin)103t – 53°)
in Ampere is D. sin(103t + 53°)
A. 10cos(t + 55°) + 10cos(2t + 10° + tan-1 2) [2004: 1 Mark]

3 5. In the ac network shown in the figure, the


B. 10 cos ( t + 55 ) + 10 cos (2t + 55)
2 phasor voltage VAB (in Volts) is a

C. 10 cos ( t + 35 ) + 10 3 cos (2t + 10 − tan−1 2 )


2

3
D. 10 cos ( t − 35 ) + 10 cos (2t − 35 )
2
[2003: 2 Marks]
3. The circuit shown in the figure, with
A. 0 B. 5 ∠30°
1 1
R=  , L = H, C = 3F has input voltage
3 4 C. 12.5 ∠30° D. 17 ∠ 30°

v(t) = sin2t. The resulting current i(t) is [2007: 2 Marks]


6. An AC source of RMS voltage 20 V with
internal impedance Zs = (1 + 2j) Ω feeds a
load of impedance ZL = (7 + 4j) Ω in the
figure below. The reactive power consumed
by the load is

28
www.gradeup.co

9. The voltage (VC) across the capacitor (in


volts) in the network shown in ……

A. 8 VAR B. 16 VAR

C. 28 VAR D. 32 VAR

[2009: 2 Marks]

7. A 230 Vrms source supplies power to two [2015: 1 Mark, Set-2]


loads connected in parallel. The first load 10. In the circuit shown, the current/flowing

draws 10 kW at 0.8 leading power factor through the 50 Ω resistor will be zero if the

and the second one draws 10 kVA at 0.8 value of capacitor C (in μF) is ……. .

lagging power factor. The complex power

delivered by the source is

A. (18 + j1.5) kVA

B. (18 – j1.5) kVA

C. (20 + j1.5) kVA [2015: 2 Marks, Set-3]

D. (20 – j1.5) Kva 11. The figure shows an RLC circuit with a
sinusoidal current source ……….
[2014: 2 Marks, Set-1]

8. The steady state output of the circuit

shown in the figure is given by

y(t) = A(ω)sin(ωt + ϕ(ω)). If the

amplitude |A (ω)| = 0.25, then the

frequency ω is [2017: 1 Mark, Set-1]


12. The figure shows a RLC circuit excited by
the sinusoidal voltage 100cos(3t) Volts,
where t is in seconds. The ratio
amplitude of V2
is ……….
amplitude of V1

1 2
A. B.
3RC 3RC

1 2
C. D.
RC RC

[2014: 2 Marks, Set-4]

[2017: 2 Marks, Set-1]

29
www.gradeup.co

13. For the circuit given in the figure, the 16. A source of angular frequency 1 rad/sec
voltage VC(in volts) across the capacitor is has a source impedance consisting of 1 Ω
resistance in series with 1 H inductance.
The load that will obtain the maximum
power transfer is
A. 1 Ω resistance
B. 1 Ω resistance in parallel with 1 H
A. 1.25 2 sin (5t − 0.2 )
inductance

B. 1.25 2 sin (5t − 0.125 ) C. 1 Ω resistance in series with 1 F


capacitor
C. 2.5 2 sin (5t − 0.25 )
D. 1 Ω resistance in parallel with 1 F
D. 2.5 2 sin (5t − 0.125 ) capacitor

[2018: 2 Marks] [2003: 1 Marks]

14. In the circuit of Figure the equivalent 17. An independent voltage source in series

impedance seen across terminals A – B is with an impedance Zs = Rs + jXs delivers a


maximum average power to a load
impedance ZL when
A. ZL = Rs + jXs B. ZL = Rs
C. ZL = jXs D. ZL=Rs - jXs
[2007: 1 Mark]
18. The Thevenin equivalent impedance ZTh
A. (16/3) Ω B. (8/3) Ω
between the nodes P and Q in the following
C. (8/3 + 12j) Ω
circuit is?
D. None of the above
[2019: 2 Marks]

CIRCUIT THEOREM

15. In the figure, the value of the load resistor


R which maximizes the power delivered to
it is 1
A. 1 B. 1 + s +
s

1 s2 + s + 1
C. 2 + s + D.
s s2 + 2s + 1
[2008: 2 Marks]
19. In the circuit shown below, the Norton
A. 14.14 Ω B. 0 Ω
equivalent current in amperes with respect
C. 200 Ω D. 28.28 Ω
to the terminals P and Q is
[2001: 2 Marks]

30
www.gradeup.co

A. 100∠90° B. 800∠90°

C. 800∠90° D. 100∠60°

[2013: 2 Marks]

23. In the circuit shown in the figure, the

angular frequency ω (in rad/s), at which


A. 6.4 – j4.8 B. 6.56 – j 7.87
the Norton equivalent impedance as seen
C. 10 + j0 D. 16 + j0
[2011: 1 Mark] from terminals b - b’ is purely resistive, is
20. Assuming both the voltage sources are in ……….
phase, the value of R for which maximum
power is transferred from circuit A to
circuit B is?

[2014: 2 Mark, Set-3]

24. In the given circuit, the maximum power


A. 0.8 Ω B. 1.4 Ω
C. 2 Ω D. 2.8 Ω (in Watts) that can be transferred to the

[2012: 2 Marks] load RL is


21. A source vs(t) = Vcos100  t has an
internal impedance of (4 +j3) Ω. If a
purely resistive load connected to this
source has to extract the maximum power
out of the source, its value in ohm should
be
A. 3 B. 4
C. 5 D. 7 [2014: 1 Mark, Set-3]
[2013: 1 Mark] 25. For maximum power transfer between two
22. In the circuit shown below, if the source
cascaded sections of an electrical network,
voltage Vs = 100∠53.13° V then the
the relationship between the output
Thevenin's equivalent voltage in Volts as
seen by the load resistance RL is impedance Z1 of the first section to the

input impedance Z2 of the second section is

A. Z2 = Z1 B. Z2 = - Z1

C. Z2 = Z1* D. Z2 = - Z1*

[2015: 2 Marks, Set-1]

31
www.gradeup.co

32
www.gradeup.co

26. In the circuit shown, if v(t) = 2sin(1000t) 29. The condition on R, L and C such that the
volts, R = 1 k Ω, and C = 1μF, then the step response y(t) in the figure has no
steady-state current i(t), in milliamperes oscillations, is
(mA) is

1 L L
A. R  B. R 
2 C C

L 1
C. R  2 D. R =
C LC
A. sin(1000t) + cos(1000t)
[2005: 1 Marks]
B. sin(1000t) + 3cos (1000 t)
30. In a series RLC circuit, R = 2 k Ω, L = 1 H
C. 2 sin(1000t) + 2 cos(1000t)
1
D. 3sin(1000t) + cos (1000t) and C = F . The resonant frequency is
400
[2019: 2 Marks]
1
A. 2 × 104 Hz B.  104 Hz

RESONANCE
C. 104 Hz D. 2  104 Hz
27. A series RLC circuit has a resonance [2005: 1 Mark]
frequency of 1 kHz and a quality factor Q = 31. For a parallel RLC circuit, which one of the
100. If each of R, L and C is doubled from following statements is NOT correct?
its original value, the new Q of the circuit A. The bandwidth of the circuit decreases if
is? R is increased
A. 25 B. 50 B. The bandwidth of the circuit remains
C. 100 D. 200 same if L is increased
[2003: 1 Mark] C. At resonance, input impedance is a real
28. Consider the following statements S1 and quantity
S2 D. At resonance, the magnitude of input
S1: At the resonant frequency the impedance attains its minimum value
impedance of a series R-L-C circuit is zero. [2010: 1 Mark]
S2: In a parallel G-L-C circuit, increasing 32. In the circuit shown, at resonance, the
the conductance G results in increase in its amplitude of the sinusoidal voltage (in
Q factor. Which one of the following is volts) across the capacitor is ……….
correct?
A. S1 is FALSE and S2 is TRUE
B. Both S1 and S2 are TRUE
C. S1 is TRUE and S2 is FALSE
D. Both S1 and S2 are FALSE
[2004: 2 Marks] [2015: 1 Marks, Set-1]

33
www.gradeup.co

33. The damping ratio of a series RLC circuit 37. In the circuit shown, V is a sinusoidal
can be expressed as voltage source. The current I is in phase
with voltage V. The ratio of amplitude of
R 2C 2L
A. B. 2 voltage across the capacitor/amplitude of
2L R C
voltage across the capacitor is ……… .
R C 2 L
C. D.
2 L R C

[2015: 2 Marks, Set-1]


34. An LC tank circuit consists of an ideal
capacitor C connected in parallel with a coil
of inductance L having an internal
resistance R. The resonant frequency of [2017: 1 Mark, Set-2]

the tank circuit is MAGNETICALLY COUPLED CIRCUITS

1 1 C
A. B. 1 − R2 38. The current flowing through the resistance
2 LC 2 LC L
R in the circuit in the figure has the form
1 L 1 C Pcos4t, where P is
C. 1− D. 1 − R2
2 LC R 2C 2 LC L

[2015: 2 Marks, Set-2]


35. At very high frequencies, the peak output
voltage V0 (in Volts) is ________.

A. (0.18 + j0.72)
B. (0.46 + j1.90)
C. –(0.18 + j1.90)
D. –(0.192 + j0.144)
[2003: 2 Mark]
39. The equivalent inductance measured
between the terminals 1 and 2 for the
[2017: 1 Marks, Set-1]
circuit shown in the figure is
36. The figure shows an RLC circuit with a
sinusoidal current source.

A. L1 + L2 + M B. L1 + L2 – M
[2017: 1 Mark, Set-1] C. L1 + L2 + 2M D. L2 + L2 – 2M
[2004: 1 Mark]

34
www.gradeup.co

40. Impedance Z as shown in the given figure ac voltage VYZ2 =100 V is applied across YZ
is to get an open circuit voltage
Vwx2 across WX. Then VYZ1/VWX1, /VWX2/VYZ,
are respectively

A. j29 Ω B. j9 Ω
C. j19 Ω D. j39 Ω
125 80 100 80
[2005: 2 Marks] A. and B. and
100 100 100 100
41. In the circuit shown below, the current
100 100 80 80
through the inductor is C. and D. and
100 100 100 100

[2013: 2 Marks]
44. The resonant frequency of the series circuit
shown in figure is

2 −1
A. A B. A
1+ j 1+ j 1 1
A. Hz B. Hz
4 3 4
1
C. A D. 0 A
1+ j 1 1
C. Hz D. Hz
[2012: 1 Mark] 4 10 4 2
42. The average power delivered to an [2013: 1 Mark]
impedance (4 —j3) Ω by a current 45. In the series circuit shown in figure, for
5cos (100t + 100 ) A is series resonance the value of the coupling
A. 44.2 W B. 50 W coefficient k will be
C. 62.5 W D. 125 W
[2012: 1 Mark]
43. The following arrangement consists of an
ideal transformer and an attenuator which
attenuates by a factor of 0.8. An ac voltage A. 0.25 B. 0.5

VWX1 = 100 V is applied across WX get an C. 0.999 D. 1.0

open circuit voltage VYZ across YZ. Next, an [2013: 1 Mark]

35
www.gradeup.co

46. Two magnetically uncoupled inductive coils


A.
have Q factors q1 and q2 at the chosen

operating frequency. Their respective


B.
resistance are R1 and R2. Wien connected

in series, their effective Q factor at the


C.
same operating frequency is

1 1
A. q1 + q2 B.   +  
 q1   q2  D.

C.
( q1R1 + q2R2 ) D.
( q1R2 + q2R1 )
[2020: 1 Marks]
(R 1 + R 2 ) (R 1 + R 2 )
48. The current in the RL-circuit shown below
[2013: 2 Marks]
is i(t) = 10 cos(5t – π/4)A
47. For the given circuit, which one of the The value of the inductor (rounded off to
following is the correct state question? two decimal places) is ……. H.

[2020: 1 Marks]

ANSWER

1. (0.408) 2. C 3. A 4. A 5. D 6. B 7. B 8. B 9. (100) 10. (20)

11. (0.316) 12. (2.6) 13. C 14. B 15. A 16. C 17. D 18. A 19. A 20. A

21. C 22. C 23. (2r) 24. (1.414) 25. C 26. D 27. B 28. D 29. C 30. B

31. D 32. (25) 33. B 34. B 35. 0.5 36. (0.316) 37. (0.2) 38. (*) 39. D 40. B

41. C 42. B 43. C 44. B 45. A 46. C 47. A 48. 2.828

36
www.gradeup.co

37
www.gradeup.co

SOLUTION

2 T 3. Not admittance.
 T t; 0  t, 2
1. x (t) =  1
Y = +
1 
+ je  ;  = rad / s
0; T
tT  R jL 
 2
 
RMS value of  1 
Y = 3 +
1
( )
+ j  2  3  = 3 − j2  j6 = 3 + j4
 j2 
2
1 T 1 T
2  4 
x (t) =  X2 ( t ) dt =  2
 T  dt + 0
T 0 T 0
  The resulting current,

T
i(t) = Y.u (t)
1 4  t3 
=    = (3 + j4) sin 2t
T T 2  3 0
= 5 53.13.sin2t

=
4 T3
. =
1
= 0.408 = 5 sin (2t + 53.13 ) A
T 3 24 6
vi ( t )  1 
2. The input voltage v(t) j as different 4. V0 ( t ) = .
1  jC 
R+
frequency components, Now, using jC

superposition theorem: 2 sin103 t


=
j+1
(
= sin 103 t − 45 )
Case 1: V1 ( t ) = 10 5 cos ( t + 10 ) V is
5. ZAB = Z1 || Z2 = (5 – 3j) || (5 + 3j)
active
34
ZAB =
V1 ( t ) 10 5 cos ( t + 10 ) 10
L '1 ( t ) = =
R + j1L 1 + j1.1 VAB = I. ZAB
 34 
10 2 cos ( t + 10 ) = (530 )  0 
=  10 
2 45
= 17 ∠ 30° Volts
L1’ (t) = 10 cos(t – 30°) A
20 20
6. I= = = 2A
Case 2: V2 ( t ) = 10 5 cos (2t + 10 ) V is Ztotal 2
8 + 62
[ ∴ Ztotal = ZB + ZC = 8 + 6j]
active
∴ Reactive power
V2 ( t ) 10 5 cos (2t + 10 )
i2 ( t ) = = = Power on reactive element of load
R + j2L 1 + j.2.1
= 4 × 22 = 16 VAR
10 5 cos (2t + 10 ) 7. Load I: -P1 = 10 kW, cos ϕ1 = 0.8 (lead)
= −12
5 tan

Now, i ( t ) = i1 ( t ) + i2 ( t )

= 10cos(t – 350°) + 10 cos(2t + 10° - tan-


12
)

38
www.gradeup.co

Q1 = - tan ϕ1 . P1 Applying KVL in loop

3 - 100 + 80 + j40 – jVc = 0


Q1 = −10  = −7.5kVAR
4
100 = 80 + j(40 – Vc)
S1 = P1 + jQ1 = 10 – j7.5 kVA
1002 = 802 +(40 – Vc)2
Load II: S2 = 10 kVA, cos ϕ2 = 0.8 (lag)
40 − VC = 1002 − 802 = 3600 = 60
P2 = S2. Cos ϕ2 = 10 × 0.8 = 8 kW
Q2 = +S2.Sin ϕ2 = 10 × 0.6 = 6 k VAR VC = 100 Volts

S2 = 8 + j6 kVA 10.

The complex power delivered by the source


S = S1 + S2 = 10 – 7.5 + 8 + j6
S = 18 – j1.5 kVA
8.

The current I is zero if impedance Z is

infinite.
By nodal method,
⇒ ω = 5000 rad/s
V − 1 0 V v
+ + =0
R (1 / jC ) (2 / jC ) Redrawing the circuit for Z

1 jC  1 0
V  + jC + =
R 2  R

2
V=
2 + 3jRC

V 1
Y= 
2 2 + j3RC  XL = jL = j  5  103  10−3 = j5 

1 1  1 
Given | A ( ) |=  j5   j5 +
4 2 2
4 + 9R c . 2
 jC 
 Z = j5 +
1
2 j5 + j5 +
= jC
3RC
1
9. For Z → ; j5 + j5 + =0
jC

j
j10 − =0
C

1 1
C= = = 20F
10 5  103  10

39
www.gradeup.co

11. For parallel RLC circuit in resonance 14. The given circuit is a balanced bridge,
condition, hence,
IR = I, ZeQ = (2||4) + (2||4)
IL = QI − 90, IC = Q.I − 90 4 4 8
= + = 
3 3 3
IL QI
 = 15.
IR I

C 10  10−6
Q= = 10 = 10  0.0316 = 0.316
L 10  10−3

12.

For maximum power transfer to RL.


Z1 = 4 + j.1 = 4 + j3 R L = ZS = 102 + 102 = 10 2 = 14.14

1 16. Source impedance.


Z2 = 5 + = 5 − j12
jC
Zs = R s + jL s = 1 + j  1  1 = 1 + j1
If current is constant, u ∝ Z, then
For maximum power transfer, load
V2 Z 52 + 122
= 2 = impedance
V1 Z1 42 + 32
= Zs * = 1 − j.
13
= = 2.6
6 17.

13. Redrawing the circuit, (for ω = 5 rad/s)

Current through the load,

Vs
VC = 5 0  o ( − j200 ) IL =
(R S + RL ) + j(XS + XL)
200 − j200
VS
5  1 −90o IL = IL =
= (R S + RL )2 + (XS + XL )2
2 −45o
Average power to load.
5 o  
= − 45 = 2.5 2 sin  5t −  VS2RL
2  4  PL = IL2RL =
(R S + RL )2 + (XS + XL )2
VC = 2.5 2 sin (5t − 0.25  ) volt .

40
www.gradeup.co

PL −2(XS + XC )VS2RL 20.


 = =0
XL (R S + RL )2 + (XS + XL )2 
2

 XL = XS ...(i)

Now putting XL = -XS in PL equation

VS2RL
PL =
(R S + RL )2

PL
But =0
R L

 RL = R S …(ii) Current through R,

From equations (i) and (ii), ZL = RS-jXS 10 − 3 7


I= = A.
2+R 2+R
18. To find out Zth make all the voltage sources
Current through 3V source.
short circuit and make all current sources
3
open. I1 = I − = I − j3
(− j2 )

Power delivered to circuit B from circuit A,


3
 7   7 
P = I2R + 3I1 =   R+ − j3  .3
2 + R  2 + R 

P
For P to maximum, =0
R
2
 7  98 21
1  2 + R  − 3
.R − =0
  (2 + R) (2 + R)2
Zth = (s + 1)  s + 1 = 1
 
49(2 +R) –98R – 21(2 + R) = 0
2
(s + 2s + 1) 98 – 48R – 87R – 42 – 21 = 0
or, Zth = =1
s2 + 2s + 1
56
R= = 0.8.
19. When terminals P and Q are short circuit. 70
Then circuit becomes 21. For pure resistive load to extract the
maximum power.

RL Zs = R 2s + X2s

= r2 + 32 = 5
22. For Vth, RL must be removed. Hence, I2 =
0. & j40I2 = 0
From current division rules
16(25) (16)(25)
Isc = =
25 + 15 + j30 40 + j30
(16)(25)
= = 6.4 − j4.8
10(4 + j3)

41
www.gradeup.co

Using voltage division theorem,

j4 400 53.13 + 90


V4 = 100 53.10  =
3+ j4
5 53.13

VL1 80 90
For Vth:
Vth = 10VL1 800 90 Volts.
40 0 2 4 90
Vth = 2
j =
23. 2+ j 2 45
Vth = 2.828 45V
2.828 45
Ith =
1.414 45 + 1.414
I = 1.08 22.5 A.

Maximum power transferred to RL


= I2R(1.08)2 × 1.414 = 1.649 W.
25. For maximum Power transfer form first
section with output impedance (Z1) to the

1 j input impedance (Z2):
2 1
ZN = +
 j Z2 = Z1
1+ j
2
26.
j
+
1
=
2 + j − 2

(
−2 − j  )
2 + j j −2 + j2 (
−2 − j2  )
=
( ) ( )
− 2 − 2 2 + 22 − j 3 + j4
4 2
 + 4

24. For max. power transfer to the load,

R L = Zth It is given the V(t) = 2sin 100t = V = 2 0

R = 1 k, C = 1  F
For Zth:
By observing the circuit we can say

V
I=
Z
→ When each element of star network are
same then its corresponding delta element
are same and it becomes

2  j2 j2 290
Zth = = = = 1.414 45 
2 + j2 1 + j1 245

 RL = Zth = 1.414 

42
www.gradeup.co

1 28. Statement S1: FALSE


Z = 3Z*, but in capacitor case Z = ,
jC Impedance of series RLC circuit at
So if the capacitor of star network are C resonance is minimum, i.e.,
each then in its delta equivalent it becomes Z = R,
C/3 = Cx Statement S2: FALSE
In parallel RLC (or GLC) circuit, Q-factor

C 1 C
=R = .
L G L

1
If C and L are constant, Q 
G
29. Transfer function is T(s)

Now y(t) =
 idt
C
Further redrawing the network. I
 Y(s) =
1 R / jwCx R sC
Where Z = R = =
jCX 1 1 + jRCx  I 
R+ U(s) =  + R + Ls 
jCx
 sC 
R 3R 3R Y(s) 1 / sC 1
= =  = =
C 3 + jRC 3 + j U(s) 1 / sC + R + Ls LCs2+ + RCs + 1
1 + jR
3
1 / LC
T(s) =
Now 2 Rs 1
s + +
V V 20 20
L LC
I = I1 + I2 = + = +
Z 2Z (3R / 3 + j) (65R / 3 + j) 1
 n2 =
3+ j 3+ j [3 0] + [1 90] LC
= [2 0 + 1 0] = (1 0) =
3R R R 1
 n =
= 3 0 + 1 90 mA ( 12 − 1000 ) LC

R
= 3sin1000t + sin(1000t + 90°)  2n =
L
1
27. Resonant frequency, 0 = 2f0 = R C
LC  2 = LC = R
L L
1
and Quality factor, Q = R C
0RC  =
2 L
When, R, L, C all are doubled, then,
For no oscillations,   1
0
 '0 = R C
2  1
2 L
and,
L
1 2 Q  R2
Q' = ' = = = 50 C
' 0R ' C ' 4(0RC) 2

43
www.gradeup.co

44
www.gradeup.co

1 1
30. Resonant frequency = Then, BW (Bandwidth) = 2 − 1 =
2 LC RC

1 1 BW decreases mean R is increased


= =  104 Hz
10−6  Also, BW is independent of any variation in
2 1 
400 L.
31. 32. Resonant frequency,
1 1
r = =
LC 0.1  10 −3
 1  10−6

r = 105 rad/ s

1 1
 XC = = = 10 
C 105  1  10−6
 Amplitude of current (at resonance),

Admittance, Vmax
Imax =
R
1 1 1  1 
Y = + jC + = + J  C − 10
R jL R  L  = = 2.5 A.
4
Frequency response of voltage amplitude  Amplitude of voltage across capacitor
Im Vc = XcI = 10  2.5 = 25 Volts.
V =
2 2
1  1
 R  +  C − 1L  33. For series RLC circuit:
   
R
1 1 damping coefficient,  =
At resonance, 0C − = 0,  = 2L
L LC
1
resonant frequency, r =
1 LC
Impedance, Z = =R
Y
 R C
[Real quality and having maximum] damping ratio,  = =
r 2 L
Half-power frequencies,
34.
2
1  1  1
1 , 2 = −    + LC
2RC  2RC 

1
Zeq = (R + jL)
jC

1
.(R + jL)
j C
1
+ R + jL
jC

45
www.gradeup.co

R L  1  IL QI
+ R − j  L −  =
jC C   C  IR I
= 
 1   1 
R + j  L −  R − j  L − C  C 10  10−6
  C    Q= = 10 = 10  0.0316 = 0.316
L 10  10−3
− jR 2 L 1  R  1  RL
− j  L − − L − + 37. If V and I are in same phase then circuit
C C C  C  C  C
Zeq =
 1 
2
must be in resonance. Hence, at resonance
R 2 +  L −
 C 
VC = QVR .
Equating imaginary part to be zero, i.e.,
Amplitude of VC
Im {Zeq} = 0 Amplitude of VR
−R 2 L  1 
−  L − =0 1 L 1 5
C C  C  Q= = = 0.2
R C 5 5
R L2 L 38. Here inductance has not been given,
+ − =0
C C C2
therefore ignoring inductance, we have, in
2 2 2
CR +  L C − L = 0
the voltage source circuit,
2
L −R C 2 cos 4t
2 = I1 = = 0.67 cos 4t
L2C 3
1 R 2C
= 1−
LC L

1 R 2C
f = 1−
2 LC L

1
35.  XC = . If  is very high then XC → 0.
C

− jMI1 − j4  0.75I1
and, I2 = =
R − j / C 3.92 − 2.56j

− j3  0.67 cos 4t
= = (0.23 − 0.35j) cos 4t
3.92 − 2.56j
Using voltage division theorem, Now, none of options seems to be true, it
1 can be inferred from the question that
V0 = 1 sin t  = 0.5 sin(t)
1+1 something may be missing there.
Peak output voltage = 0.5 Volt. 39.
36. For parallel RLC circuit in resonance
condition,

IR = I,
IL = Q.I − 90, IC = Q.I − 90

46
www.gradeup.co

di di di 42. Z = 4 – j3 = RL – jXC; RL = 4
V = L2 −M + (L2 − M)
dt dt dt I = 5cos(100  t + 100) = Im cos (t + )
di di 1 2 1
= (L1 + L3 − 2M) =L P= I R =  52  4 = 50W
dt dt 2 m L 2
L = L1 + L3 – 2M 43. For an ideal transformer,
40. V1 N1
=
V2 N2
Attenuation factor = 0.8
N1 1
transformation ratio, =
N2 1.25

Coil 1 and 3 are om series oppossing and


coil 2 and 3 are in series aiding. Now,
Z = (j5 – j10) + (j2 + 10) + (j2 + j10 – Case I: VWX1 = 100 V.
j10)  VYZ1 N
= 2  attenuation factor
Z = ja  VWx1 N1
41. Applying KCL at node (1), i + 1 = iL. VYZ1
= 0.8  1.25 = 1.
Using KVL in loop, VWx1

1  i + j1(i + 1) – 10 + 10 = 0 VYZ1 = 100 Volt

i + j 1 + j1 = 0 Case II: VYZ2 = 100 V


j1 VYZ2
i=− = 0.8  1.25 = 1.
1+ j VWx2

VWx2 = 100 V.

Vyz1 100 V 100


Now, = and YZ2 = .
VW1 100 VWX2 100

44.

L eq = L1 + L2 − 2M = 2 + 2 − 2  1 = 2H

Resonant frequency,
Current through inductor,
1 1 1
−j 1 fr = = = Hz.
iL = i + 1 = +1 = A. 2 L eq.c 2 2  2 4
1+ j 1+ j

47
www.gradeup.co

45.

write eqn. (i) and (ii) in matrix from


 L = L1 + L2 + 2M = L1 + L2 + 2K L1L2

jL = jL1 + jL2 + 2k jL1 jL 2

XL = j2 + j8 + 2k j2 j8 48. Given

XL = j10 + j8k Vs = 200 cos5t

At resonance, XL = XC

10 + 8k = 12 By KVL
1 Vs(t) = i(t) Z
K = = 0.25
4
And z = R + jωL = R + jXL
46. Individual Q-factor of coils are
L1 L2
q1 = and q2 = .
R1 R2
∴ |Z| = 20
 L1 = q1 R1 and L 2 = q2R 2.
Or simply = 20 …(i)
When coils are connected in series, then
q.R = L1 + coL2 = q1R1 + q2R 2. Given,

[  R = R1 + R2]
q1R1 + q2R 2
q=
R1 + R 2 = 45°
47.

∴ XL = R …(ii)
From equation (i) and (ii)

KVL in Loop

XL = 14.14 Ω
Or ωL = 14.14
KCL at node V Given ω = 5 rad/sec
∴ L = 2.828 H

❖❖❖❖

48
www.gradeup.co

49
www.gradeup.co

50
www.gradeup.co

51
4
www.gradeup.co

Transient Analysis

Analysis of Previous GATE Papers

2016 Set 3

2015 Set 1

2015 Set 2

2015 Set 3
2017 Set 1

2017 Set 2

2016 Set 1

2016 Set 2
2018
2020

2019
Year →
Topic
Topic ↓

MCQ Type 1 1 1
1 Mark
Numerical Type
First Order
MCQ Type
Circuits
2 Marks
Numerical Type 1 1 1 1 1 1 1

Total 1 2 2 2 2 1 2 3 2

MCQ Type
1 Mark
Numerical Type
Second Order
Circuits MCQ Type
2 Marks
Numerical Type

52
www.gradeup.co

FIRST ORDER CIRCUIT

1. In the figure, the switch was closed for a


long time before opening at t = 0. The
voltage Vx at t = 0+ is

A. 3 V B. –3 V

C. 4 V D. –4 V

[2005: 2 Marks]

4. A 2 mH inductor with some initial current

can be represented as shown below, where

s is the Laplace Transform variable. The


A. 25 V B. 50 V
value of initial current is
C. –50 V D. 0 V
[2002: 1 Mark]
2. For the R-L circuit shown in the figure, the
input voltage vi(t) = u(t). The current i(t)
is

A. 0.5 A B. 2.0 A

C. 1.0 A D. 0.0 A

[2006: 1 Mark]
A. B. 5. In the figure shown below, assume that all

the capacitors are initially uncharged. If

Vi(t) = 10u(t) Volts, v0(t) is given by

C. D.

[2004: 2 Marks]
3. A square pulse of 3 volts amplitude is
applied to C-R circuit shown in the figure.
The capacitor is initially uncharged. The A. 8e–t/0.004 Volts B. 8(1–e–t/0.004) Volts

output voltage V2 at time t = 2 sec is C. 8u(t) Volts D. 8 Volts

[2006: 1 Mark]

53
www.gradeup.co

6. In the circuit shown, VC is 0 volts at t = 0


sec. For t > 0, the capacitor current i c(t),
where t is in seconds, is given by

Assume that the capacitor has zero initial


charge. Given that u(t) is a unit step
function, the voltage vc(t) across the
A. 0.50exp(–25t) mA capacitor is given by
B. 0.25exp(–25t) mA 
A.  (−1) tu(t − nT)
n

C. 0.50exp(–12.5t) mA n= 0


D. 0.25exp(–6.25t) mA
B. u(t) + 2 (−1)n u(t − nT)
[2007: 1 Mark] n=1


7. In the following circuit, the switch S is C. tu(t) + 2 (−1)n u(t − nT)u(t − nT)
n=1
closed at t = 0. The rate of change of

current
di +
(0 ) is given by
D.  [0.5 − e −(t −2nT)
+ 0.5e−(t −2nT − T) ]
dt n=1

[2008: 1 Mark]
9. The switch in the circuit shown was on
position a for a long time and is moved to
position at time t = 0. The current i(t) for t
> 0 is given by

R sIs
A. 0 B.
L
(R + R s )Is
C. D. 
L
[2008: 1 Marks]
8. The circuit shown in the figure is used to
A. 0.2e–125tu(t) mA
charge the capacitor C alternately from two
B. 20e–1250tu(t) mA
current sources as indicated. The switches
C. 0.2e–125tu(t) mA
S1 and S3 are mechanically coupled and
D. 20e–1000tu(t) mA
connected as follows:
[2009: 2 Marks]
For 2nT < t < (2n + 1)T, (n = 0, 1, 2 ...)
10. The time domain behaviour of an RL circuit
S1 to P1 and S2 to P2.
is represented by
For (2n + 1)T < t < (2n + 2)T, (n = 0, 1,
2, ...) S1 to Q1 and S2 to Q2.

54
www.gradeup.co

di D. i(t) = –5exp(–2×103t) A.
L + Ri = V0 (1 + Be−Rt /L sin t)u(t)
dt [2011: 2 Marks]
V0 13. In following figure, C1 and C2 are ideal
For an initial current of i(0) = , the
R
capacitors. C1 had been charged to 12 V
steady state value of the current is given
before the ideal switch S is closed at t = 0.
by
The current i(t) for all t is
V 2V0
A. i(t) → 0 B. i(t) →
R R
V0 2V0
C. i(t) → (1 + B) D. i(t) → (1 + B)
R R
[2009: 2 Marks]
11. In the circuit shown the switch, the switch
S is open for a long time and is closed at t
A. zero
= 0. The current i(t) for t > 0+ is
B. a step function

C. an exponentially decaying function

D. an impulse function

[2012: 1 Mark]

A. i(t) = 0.5 – 0.125e–1000t A. 14. In the figure shown, the capacitor is

B. i(t) = 1.5 – 0.125e–1000t A. initially uncharged. Which one of the


C. i(t) = 0.5 – 0.5e–1000t A. following expressions describes the current
D. i(t) = 0.375e–1000t A. i(t) (in mA) for t > 0?
[2010: 2 Marks]
12. In the circuit shown below, the initial
charge on the capacitor is 2.5 mC, with the
voltage polarity as indicated. The switch is
closed at time t = 0. The current i(t) at a
time t after the switch is closed is

5 2
A. i(t) = (1 − e− t /T ), T = m sec
3 3

5 2
B. i(t) = (1 − e− t /T ), T = m sec
2 3

5
C. i(t) = (1 − e− t /T ), T = 3msec
A. i(t) = 15exp(–2×10 t) A. 3
3
B. i(t) = 5exp(–2×103t) A. 5
D. i(t) = (1 − e− t /T ), T = 3 m sec
C. i(t) = 10exp(–2×10 t) A. 3
2

55
www.gradeup.co

[2014: 2 Marks, Set-2]

15. In the circuit shown in the figure, the value


of v0(t) (in volts) for t →  is …………… .

[2015: 2 Marks, Set-2]


19. The switch has been in position 1 for a long
time and abruptly changes to position 2 at
t = 0.
[2014: 2 Marks, Set-4]
16. In the circuit shown, the switch SW is
thrown from position A to position B at
time t = 0. The energy (in  J) taken from
the 3 V source to charge the 0.1 F
capacitor form 0V to 3V is
If time t is seconds the capacitor voltage
VC (in volts) for t > 0 is given by
A. 4(1–exp(–t/0.5)
B. 10–6 exp(–t/0.5)
C. 4(1–exp(–t/0.6)
A. 0.3 B. 0.45
D. 10–6 exp(–t/0.6)
C. 0.9 D. 3
[2016: 1 Mark, Set-2]
[2015: 1 Mark, Set-1]
17. In the circuit shown, switch SW is closed at 20. Assume that the circuit in the figure has
t = 0. Assuming zero initial conditions, the reached the steady state before time t = 0
value of vc(t) (in volts) at t = 1 sec is …….. when the 3 ohm resistor suddenly burns
out, resulting in an open circuit. The
current i(t) (in ampere) at t = 0+ is ……...

[2015: 2 Marks, Set-1]


18. In the circuit shown, the initial voltages
across the capacitors C1 and C2 and 1 V
and 3 V, respectively. The switch is closed [2016: 2 Marks, Set-3]
at time t = 0. The total energy dissipated 21. In the circuit shown, the voltage VIN(t) is
(in Joules) in the ' resistor R until steady described by:
state is reached, is ………….

56
www.gradeup.co

then the current I(t), in amperes, at time t


= T/2 is ………… (rounded off to 2 decimal
places).

where t is in seconds. The time (in


seconds) at which the current I in the
circuit will reach the value 2 Amperes is ….
[2017: 2 Marks, Set-1]
22. The switch in the circuit, shown in the [2019: 2 Marks]

figure, was open for a long time and is


SECOND ORDER CIRCUIT
closed at t = 0
25. At t = 0+, the current i1 is

The current i(t) (in ampere) at t = 0.5


seconds is …..
[2017: 2 Mark, Set-2]
23. For the circuit given in the figure, the −V −V
A. B.
2R R
magnitude of the loop current (in amperes,
correct to three decimal places) 0.5 second −V
C. D. zero
after closing the switch is …. . 4R

[2003: 2 Marks]

26. I1(s) and I2(s) are the Laplace transforms

of i1(t) and i2(t) respectively. The

equations for the loop currents I 1(s) and

[2018: 2 Marks] I2(s) for the circuit shown in the figure,

24. The RC circuit shown below has a variable after the switch is brought from position 1
resistance R(t) given by the following to position 2 at t = 0, are
expression:
 1 
 t R + Ls + Cs −Ls  V
R(t) = R 0  t −  for 0  t  T I (s)
 T A.   1  = s
 1  I2 (s)  
 −Ls R+  0 
Where R0 = 1, C = 1F. We are also given Cs 
that T = 3R0C and the source voltage is VS
= 1V. If the current at time t = 0 is 1A,

57
www.gradeup.co

 1  [2008: 2 Marks]
R + Ls + Cs −Ls   V
I (s) −
B.   1  =  s 29. For t > 0, the voltage across the resistor
 1 I (s)
 2   
 −Ls R+   0  is?
Cs 
1  23 t 1 
t
A. e − e2 
 1  3 
R + Ls + Cs −Ls   V 
I1 (s)  − 
C.   = s
 1  I2 (s)   3 
   
B. e 2 cos  3t  − 1 sin  3t  
t

 −Ls R + Ls  
 0 
Cs     
  2  3  2  

 1  3
 
V C. 2 e 2 t sin  3t 
R + Ls + Cs −Ls 
I (s)  
D.   1  = s
3  2 
 1  I2 (s)  
 −Ls R + Ls  0  s2 + s + 1
Cs  D.
s2 + 2s + 1
[2003: 2 Marks] [2008: 2 Marks]
27. The circuit shown in the figure has initial 30. In the circuit shown in the figure, the value
current iL(0 ) = 1 A through the inductor

of capacitor C (in mF) needed to have
and an initial voltage VC(0 ) = —1 V across

critically damped response i(t) is …….. .
the capacitor. For input v(t) = u(t), the
Laplace transform of the current i(t) for t >
0 is

[2014: 2 Marks, Set-1]


31. In the figure shown, the ideal switch has
been open for a long time. If it is closed at
s s+2 t = 0, then the magnitude of the current
A. B.
s2 + s + 1 s2 + s + 1
(in mA) through the 4 k resistor at t =
s s−2
C. 2 D. 2 0+ is …… .
s + s +1 s + s +1
[2004: 2 Marks]
28. For t > 0, the output voltage vc(t) is

2  − 12 t 2 
t
A. e − e 2 
3 

1
2 − t
B. te 2 [2014: 1 Mark, Set-2]
3
32. The current I in the given network is
2 1
− t  3 
C. e cos  t
 2 
2

3  

2 1
− t  3 
D. te sin  t
 2 
2

3  

58
www.gradeup.co

A. 2.38∠143.63°A.

B. 2.38∠-96.37°A.

C. 0
D. None of these
[2020: 1 Mark]

59
www.gradeup.co

ANSWER

1. C 2. C 3. B 4. A 5. C 6. A 7. B 8. A 9. B 10. A

11. A 12. A 13. D 14. C 15. 31.25 16. C 17. 2.528 18. 1.5 19. D 20. 1

21. 0.3405 22. 8.16 23. 0.316 24. 0.10 25. A 26. C 27. B 28. D 29. B 30. 10

31. C 32. A

SOLUTION

1. When switch was closed, circuit was in 1


[since, V(s)
i = ]
s
steady-state. All the current had passed
u(t)
through inductor. In time-domain, i(t) = [1 − e−2t ]
2

1
W2 = (P + P2 )(V1 − V2 )
2 1
1
= (100 + 200)(0.2 − 0.1)
2
3. Transfer function,
R
T(s) =
1
R+
Cs

iL (0− ) = 2.5A. VO(s) R


or, =
V(s) 1
i R+
Vx (0− ) = 0V. Cs

At t = 0. V(t)
i = 3[u(t) − u(t − 2)]

 Vx = -R × 2.5 = -20 × 2.5 = - 50 Volt. 3


V(s)
i = (1 − e−2s )
s

Their from equation. (1),


3 R
VO(s) = (1 − e−2s ).
s 1
R+
Cs

3 s
= (1 − e−2s )
s s + 104

3 3e−2s
di(t) VO(s) = −
2. vi(t) = Ri(t) + L s + 104 s + 104
dt
Inverse Laplace Transform gives,
With Laplace transformation, we have
4
VO(t) = 3u(t)e−10 t − 310−4(t −2)u(t − 2)
V(s)
i = [R + Ls]I(s)
At t = 2 sec,
V(s) 1
or I(s) = i
=
R + Ls s(2 + s) VO(2) = 3  10−4  2 − 3  −3 Volts

60
www.gradeup.co

di(t) Ldi(0+ )
4. Voltage across inductor, vL(t) = L For t = 0+, IsRs = (R + Rs) i(0+) +
dt dt

Applying hapless transform i(0+) = 0

V(s) = sLI(s) − LI(0+ )( LI(0+ ) = 1mV) di(0+ ) IsR s


 =
dt L
1mV
I(0+ ) = = 0.5A. 8. For n = 0, 0  t  T,S1P1 and S2P2 are
2mH

R0 connected as shown
V0 (s) R 0C0s + 1 1
t
5. =
C 0
V(s) R0 Ri Vc (t) = cdt = t, 0  t  T
i +
R 0C0s + 1 RiCis + 1

R 0(RiCis + 1)
=
R 0Ri(Ci + C0 )s + R 0 + Ri

4K(4s + 1) 4
= =
4K25s + 5K 5

8
V0(s) =
s
For T  t  2T, S1Q1 and S2Q2 are
 V0(t) = 8u(t)
connected
6. At t = 0+, (0+) = 0V means capacitor is
t t
1
C T
10V Vc (t) − Vc (T) = i  dt = −  dt
short-circuited; ic (0+ ) = = 0.5mA. T
20K
[i = -1A as flowing in opposite direction]
At steady-state, capacitor will get open-
circuited, ic () = 0 A.

Time-contant,
 = Req.c = (20 20K)  4F = 10  103  4  10−6

= 40 ms. or, Vc(t) –T = -(t-T)


−t
 ic (t) = ic () + [ic (0) − ic ()]e  or, Vc(t) = 2T – t, for T  t  2T.
Hence, Vc(t) can be expressed as,
 −3

= 0 + 0.5  10 −0  = 0.5 e−25t mA. Vc(t) = tu(t) + 2[-(t-T) u(t-T)]
 
In generalised terms,
7. Initially i(0+) = 0 due to inductor

V(t)
i = t u(t) + 2 (−1)n (t − nT)u(t − nT)
n=1

9. When the switch is in the position A., the


circuit will be as shown,

Ldi(t)
IsR s = (R + R s )i(t) +
dt

61
www.gradeup.co

C1 = 0.2 F For steady state current,


C2 = 0.5 F limi(t) = lim sI(s)
t → s→0
C3 = 0.3 F
 
In steady state all the capacitors are open  
V0  1 B  + LV0 s
 I(s) = +
circuited sL + R  s  R
2  R (R + Ls)
  s + L  + 1 

   

V0
or lim sI(s) =
s →0 R
11. At t = 0- (steady-state)

C1 (C2 + C3 )
Ceg = = 0.16 F
C1 + C2 + C3

When the switch is thrown to position B.

10
iL (0− ) = 1.5  = 0.75 A
10 + 10

iL (0− ) = iL (0+ ) = 0.75 A

V(0+) 100 At to = 0+,


=
s s
V(0+) 1
I(S) = .
s 1
R+
sCeq

V(0+) 1
= .
R 1
a+
RCeq Inductor is replaced by a went source with
initial value i.e.;
Inverse laplace given,
T iL (0− ) = iL (0+ ) = 0.75 A.

i(t) = VF + (V1 − VF )e RC

t
V(0+) − RCeq
= .e .V(t)
R
100 −1250 t
= e .V(t)
5k
20e-1250 t V(t) mA
10. Taking Laplace transform, we have

  R eq = (10 10) + 10 = 15 
 
 1 1 
sLI(s) − Li(0) + RI(s) = V0 +B L
s  R 
2  = = 105−3.
  s + + L  R eq
  L  

62
www.gradeup.co

Applying nodal analysis, [The sign is negative because the capacitor

v(10+ ) v(0+ ) voltage is in positive direction of the


+ − 1.5 + 0.75 = 0
10 10 current.]
+
v(0 ) = 5  0.75 = 3.75 V. dvc (t)
then, i(t) = C
dt
v(0+ )
i(0+ ) = = 0.375 A. 3
10 = (50 + 10−6 )  (−150  2  103 )e−210 t

At t →  (steady-state), = +15e−210
3
t

13. When switch in closed at t = 0, capacitor


C1 will/discharge and C2 will get charge
since both C1 and C2 are ideal and there is
no-resistance in the circuit charging and
discharging time constant will be zero.
1.5  5 Thus current will exist like an impulse
i() = = 0.5 A.
10 + 5 function.
−t 14. C = 1 F.v c (0− ) = 0 V.
current i(t) = i() + [i(0) − i()]e

i(t) = 0.5 + [0.375 – 0.5]e-1000t
i(t) = 0.5 - 0.125 e-1000t A.
12.

12 2
R eq = = k
1+2 3
2
T = R eq.C = ms.
Q0(Initial charge on capacitor) 3

= CVc (0−) = CVc (0+)

 Vc (0−) = Vc (0+)

Q0 2.5  10−3
= = = -50 Volts.
C 50  10−6
The capacitor voltage at time t,
−t
Vc (t) = [Vc (0+) − Vc ()]e RC
+ Vc ()
2 10
vc () = 5 = Volt.
Vc () = 100 volts 2 +1 3
3 −t
Vc (t) = [−50 − 100]e−210 t + 100 vc (t) = vc () + vc (0− ) − v() e
T
3
= −150e−210 t
+ 100

63
www.gradeup.co

−t
10  10  −t
= + 0− e vc (t) = v() + [v(0) − v()]e 
3  3  T
dvc (t) 1 −t
10  −t  ic (t) = c = .e
vc (t) = 1 − e  Volt. dt 40
3  T
 0
−t
−3
15. Energy =  v0icdt = 0 =
0 40
 e 
= 0.9 J.
0

17. At t = 0-,

vc (0− ) = vc (0+ ) = 0V

23 6
R eq = = .
2+3 5
For t → , i.e., at steady state, inductor 6
 = R eq.C =  1s.
5
will behave as a shot circuit and hence
At t = 0+,
VB = 5.ix
By KCL at node B, -10 + VB – 2ix + ix = 0
50
 ix =
8
V0 (t) = 5ix (t)

250
 V0 (t) = = 31.25 Volts
8
16. At t = 0-  vc ()
vc(0 ) = 0 V.
-
2  −t 
 vc () =  10 = 4V. = 4 1 − e 
2+3  1

 vc (t) = 4(1 − e−1 )Volt.

vc (t = 1s) = 4(1 − e−1 ) = 2.528 Volt.

18.
At t = 0+,

vc (0− ) = vc (0+ ) = 0V.


vc () = 3 V .
3 1
 = R.C = 120  0.1  10−6 s − s
I(s) =  
 = 12  10−6 s.  1 3 
10 + 3s + 3s 
 

64
www.gradeup.co

2 2  = ReqCeq
I(s) = =
 4  4 
10s + 3  10  s +
30  = (4 + 2)  0.1 = 6  0.1 = 0.6 sec
   
Therefore,
1 −4t
i(t) = e 30 ;t  0 Vc (t) = Vc () + [Vc (0+ ) − Vc ()]e− t / 
5

−4
 10   15 t = 10 + (4 − 10) e− t /0.6
ER =  0
i2 (t)10dt =  
 25  0
e dt
Vc (t) = (10 − 6e− t /0.6 )V

 4
t
20. At t = 0- (steady-state),
10 e  15
= . 
25 −4 
15  0

10 15
=0−  = 1.5 J
25 −4

19. At t = 0-, Switch is at position-1


Using voltage division theorem,
2
V3F = 12  = 4V
2 +3 +1
3
V2F = 12  = 6V.
2 +3+1

At t = 0+,

where, Vc (0− ) = 10  2 = 4V
2+3

Vc (0− ) = Vc (0+ ) = 4V

At t = ,

Applying KVL in loop,


−4V + [−2 i(0+ )] + [−2  i(0+ )] = 0

−4
i(0+ ) = = −1A
2+2

Vc () = 5  2 = 10 V Note: The direction of current is not

The time constant of the circuit is mentioned is the question.


21. At t = 0- (steady-state),
IL (0− ) = IL (0− ) = 0 A

At t > 0,
12 2
R eq = 1; L eq = 1 24 = = 4.
1+2 3

L eq 2
= = s.
R eg 3

65
www.gradeup.co

At t →  (steady-state), At t →  (steady-state),

Al t + = 0+

Vm 15
I' = = = 15 A.
R 1
Using currant division rule,
i(0+ ) = 10 − 5 = 5A
1
I() =  15 = 5 A.
1+2
At t →  (steady-state),
−t
 −3t

I(t) = 5 + (0 − 5) e 
= 5 1 − e 2
 A.
 
Given I’(t) = 2A.
 −3t

5 1 − e 2  = 2
 
−3t
3
e 2
=
5
t = 0.3405.
22. At t = 0- (steady-state),

i() = 10 A.
−t
e
 i(t) = i() + [i(0) − i()] 

i(0− ) = 0 A
−t

5 = 10 + (5 − 10)e0.5
iL (0− ) =  10 = 5 A.
5+5
i(t) = 10 − 5 e−2t
At t > 0,
i(0.5) = 10 − 5 e−1 = 8.16 A.

23. At t = 0-,
i(0− ) = 0 A.

Req = 5

L 2.5 1
= = = s.
R eq 5 2

66
www.gradeup.co

At t→ 1 − Vc (0− )
Now I(0) =
R(0)

1 − VC (0− )
1 = ( I(0) = 1 A)
1
 1 = 1 − VC (0)  VC (0− ) = 0V
Now for t = , capacitor will be open
circuit
1 Vc () = 1V
i() = 0.5 A.
1+1
 t
+ − Now,  = RC = R(t)C = R 0 1 − 
i(0 ) = i(0 ) = 0 A.  3

 −t
 −t
i(t) = 0.5 1 − e 0.5  . Now Vc (t) = 1 + [0 − 1)e(1− t /3)
 
 T /2 
i(0.5) = 0.5(1 − e−1 ) = 0.316 A.
T − 
 VC   = 1 − e  1− T /6 
2
L 1
= =  3/2 
− 
R eq 2 =1−e  1−3/6 
( T = 3)

 = 0.5  1.5 
− 
=1−e  0.5 
= 1 − e−3 = 0.95
24. For t > 0, Redrawing the given network.
Vs (t) − VC (t)
Now, I(t) =
R(t)

T T
Vs   − VC  
T 2
   2  = 1 − 0.95 = 0.10
I  =
2 T
R 
0.5
2
 
25. At t = 0-, the circuit was in steady state.
Given,
R 0 = 1 , C = 1F, T = 3R 0C

 t
Now R(t) = R 0 1 −  1, 0  t  T
 T

 t   t
R 0 1 −  = R 0 1 −  = (1 − t/3)
 3R 0 C   3 
i1(0− ) = i2 (0− ) = 0 A.
 R(0) = R 0 = 1 
vc (0− ) = V.
Redrawing the network at t = 0, At t = 0+:

67
www.gradeup.co

Applying KVL in the loop, 1


i1R + V + i1R = 0. Vc (s) = 1. s
1
−V + s +1
i1 = s
2R
1 1
26. Transformed circuit representation is as Vc (s) = =
s2 + s + 1  1
2
 3
2

shown below  s + 2  +  2 
   

2 −t  3 
Vc (t) = e .sin  t Volt.
3 2  2 
 
29. Voltage across resistor,
1 s
VR (s) = 1. =
Using KVL in both the loops, we get  1  s2 + s + 1
s + 1 + s 
V  
I1(s).[1 / Cs + sL + R] − I2.sL + =0
s 1 3  2 
1  2   2   
s +1   3
and I2 (s)[R + 1 / Cs + Ls] − I1 Ls = 0 VR (s) = 1. 2 − 
2 2 2 2
 1  3   1  3
Writing in matrix form,  s + 2  +  2   s + 2  +  
       2 
 1 
R + sL + Cs −Ls 
I (s)   −V / s 
−t
 3  1 −2t  3 
vR (t) = e 2 cos  t − e sin  t
  1  = 
1  I2 (s)  0 
  2  3  2 
 −Ls    
 R + Ls +
Cs  −t 
 3  1  3 
27. Using KVL around the loop, we have vR (t) = e 2 cos  t − sin  t   Volt
   2 
  2  3  

Ldi(t) 1
v(t) = Ri(t) + +  i(t).dt 30. For critical damping,
dt C0
1
= =1
Taking Laplace transformation both of 2Q
sides, we have where, Q = Quality factor
I(s) vc (0+) For series circuit,
V(s) = RI(s) + LsI(s) − LI(0+) + +
sC s 1 L
Q=
1 I(s) 1 R C
 = I(s) + sI(s) − 1 + −
s s s 1
=1
2 I(s) 2 2 L
 +1 = s + s + 1
s s  R C
s+2 2
2
 2 
2
 I(s) = C=  L=
2
s + s +1 R   4 = 10 mF.
   40 
28.
31. At t = 0- (Steady-state),

68
www.gradeup.co

vc(0-) = vc(0+) = SV
iL(0-) = iL(0+) = 1 mA
At t = 0+ (switch is closed), = 1.3742 ∠–66.37°
And
+ 120∠–30° + Z i4 = 0

5
I= = 1.25 mA.
4  103
32.

= 1.3742 ∠173.62°
i4 = i + i2
∴ i = i4 – i2
= (1.3742 ∠173.62) – 1.3742 ∠–66.37°
= 2.38 ∠143.625°

❖❖❖❖

69
www.gradeup.co

70
5
www.gradeup.co

Two Port Network

Analysis of Previous GATE Papers

2017 Set 1

2017 Set 2

2016 Set 1

2016 Set 2

2016 Set 3

2015 Set 1

2015 Set 2

2015 Set 3
2020

2019

2018
Year →
Topic
Topic ↓

MCQ Type 1
1 Mark
Numerical Type 1 1
Network Parameters
MCQ Type 1 1
(Z, Y, H and T) 2 Marks
Numerical Type 1

Total 2 1 1 2 1 2

MCQ Type 1
1 Mark
Numerical Type
Interconnection
MCQ Type
of Networks 2 Marks
Numerical Type

Total 1

71
www.gradeup.co

NETWORK PARAMETERS (Z, Y, H & T) A. Z11 = 2.75  and Z12 = 0.25 


B. Z11 = 3  and Z12 = 0.5 
1. The admittance parameter y12 in the 2-port
C. Z11 = 3  and Z12 = 0.25 
network in figure is
D. Z11 = 2.25  and Z12 = 0.5 
[2003: 2 Marks]
4. For the lattice circuit shown in the figure,
Za = j2  and Zb = 2  . The values of the
open circuit impedance parameters

Z Z12 
Z =  11  are
Z21 Z22 
A. -0.2 mho B. 0.1 mho
C. -0.05 mho D. 0.05 mho
[2001: 1 Mark]
2. The Z-parameters Z11 and Z21 for the 2-
port network in the figure are

1 − j 1 + j  1 − j 1 + j
A.   B.  
1 + j 1 + j  −1 + j 1 − j

1 + j 1 + j  1 + j 1 − j
C.   D.  
1 − j 1 − j  −1 + j 1 + j
−6 16 [2004: 2 Marks]
A. Z11 = ; Z21 = 
11 11 5. The ABCD parameters of an ideal n:1
−6 4 n 0
B. Z11 = ; Z21 = 
11 11 transformer shown in figure are  .
0 x 
−6 −16
C. Z11 = ; Z21 =  The value of x will be
11 11
4 4
D. Z11 = ; Z21 = 
11 11
[2001: 2 Marks]
3. The impedance parameters Z11 and Z12 of
the two-port network in the figure are

1
A. n B.
n
1
C. n2 D.
n2
[2005: 1 Mark]

72
www.gradeup.co

6. The h-parameters of the circuit shown in 9. In the circuit shown below, the network N
the figure are is described by the following Y matrix:
 0.1 S −0.01S
Y = . The voltage gain
0.01S 0.1S 
V2
is
V1

 0.1 0.1 10 −1 


A.   B.  
 −0.1 0.3  1 0.05

30 20 10 1 


C.   D.  
20 20  −1 0.05 A. 1/90 B. -1/90
[2005: 2 Marks] C. -1/99 D. -1/11

7. In the two port network shown in the [2011: 2 Marks]


Common Data for 10 and 11:
figure below, Z12 and Z21 are, respectively
With 10 V DC connected at port a in the
linear non-reciprocal two-port network
shown below, the following were observed:
(i) 1  connected at port B draws a current
of 3 A.
A. re and r0 B. 0 and − r0 (ii) 2.5  connected at port B draws a

C. 0 and r0 D. re and − r0 current of 2 A.

[2006: 1 Marks]
8. For the two-port network shown below, the
short- circuit admittance parameter matrix
10. For the same network, with 6 V DC
is
connected at port A, 1  connected at port
B draws 7/3 A. If 8 V DC is connected to
port A, the open circuit voltage at port B is
A. 6 V B. 7 V
C. 8 V D. 9 V
[2012: 2 Marks]

 4 −2  1 −0.5 11. With 10 V DC connected at port A, the


A.  S B.  S current drawn by 7  connected at port B
 −1 4   −0.5 1 
is
 1 0.5 4 2 
C.  S D.  S A. 3/7 A B. 5/7 A
0.5 1  2 4 
C. 1 A D. 9/7 A
[2010: 1 Marks] [2012: 2 Marks]

73
www.gradeup.co

12. For the two-port network shown in the 15. The Z-parameter matrix for the two-port
figure, the impedance (Z) matrix (in  ) is network shown is
2j j 
 
 j 3 + 2j
Where the entries are in 
Suppose Zb (j) = Rb + j

 6 24  9 8 
A.   B.  
42 9  8 24 
9 6  42 6 
C.   D.  
6 24   6 60 
Then the value of Rb (in  ) equal ……….. .
[2014: 2 Marks, Set-4]
[2016: 1 Mark, Set-2]
13. The 2-port admittance matrix of the circuit
Z Z12 
shown is given by 16. The Z-parameter matrix  11  for the
Z21 Z22 
two-port network shown is

0.3 0.2 15 5 


A.   B.  
0.2 0.3  5 15
 2 −2 2 2
A.   B.  
3.33 5  0.3 0.4  −2 2  2 2
C.   D.  
 5 3.33  0.4 0.3
 9 −3  9 3 
[2015: 1 Marks, Set-2] C.   D.  
6 9  6 9
14. The ABCD parameters of the following 2
[2016: 2 Marks, Set-3]
port network are
17. The ABCD matrix for a two-port network is
defined by:
 V1   A B   V2 
 =  
 I1  C D  −I2 

3.5 + j2 20.5 
A.  
 20.5 3.5 − j2

3.5 + j2 0.5 
B.  
 0.5 3.5 − j2

 10 2 + j0
C.  
2 + j0 10  The parameter B for the given two-port
network (in ohms, correct to two decimal
7 + j0 0.5 
D.   places) is ……………… .
 30.5 7 − j4
[2018: 1 Mark]
[2015: 2 Marks, Set-3]

74
www.gradeup.co

INTERCONNECTION OF NETWORKS 20. The h-parameter matrix for this network is


 −3 3   −3 −1 
18. A two-port network is represented by A.   B.  
 −1 0.67   3 0.67 
ABCD parameters given by
3 3  3 1 
 V1   A B   V2  C.   D.  
 =   1 0.67   −3 −0.67
 I1  C D  −I2 
[2008: 2 Marks]
If port-2 terminated by RL, the input 21. In the h-parameter model of the 2-port
impedance seen at port-1 is given by network given in the figure shown, the
A + BRL ARL + C value of h22 (in S) is …… .
A. B.
C + DRL BRL + D

DRL + A B + ARL
C. D.
BRL + C D + CRL

[2006: 1 Mark]
Linked Answer Questions 19 to 20:
A two-port nework shown below is excited
by external de sources. The voltages and
[2014: 2 Marks, Set-2]
currents are measured with voltmeters V 1,
22. Consider a two-port network with the
V2 and ammeters A1, A2 (all assumed to be
A B 
ideal as indicated). Under following switch transmission matrix; T =  
 C D
conditions, the readings obtained are If the network is reciprocal, then
(i) S1-Open, S2-closed A1 = 0 A, V1 = 4.5 A. T-1 = T
V, V2 = 1.5 V, A2 = 1 A B. T2 = T
(ii) S1-Closed, S2-Open A1 = 4 A, V1 = 6V, C. Determinant(T) = 0

V2 = 6 V, A2 = 0 A D. Determinant(T) = 1
[2016: 1 Mark, Set-1]
23. In the given circuit, the two-port network

has the impedance matrix .

The value of ZL for which maximum power


is transferred to the load is ……. Ω.
19. The Z-parameter matrix for this network is

1.5 1.5 1.5 4.5


A.   B.  
4.5 1.5 1.5 4.5

1.5 4.5 4.5 1.5 


C.   D.  
1.5 1.5  1.5 4.5

[2008: 2 Marks]
[2020: 2 Mark]

75
www.gradeup.co

ANSWER

1. C 2. C 3. A 4. D 5. B 6. D 7. B 8. D 9. D 10. B

11. C 12. C 13. A 14. B 15. 3 16. A 17. 4.8 18. D 19. C 20. A

21. 1.25 22. D 23. 48

SOLUTION

1. I1 = E1 Y1 + (E1 − E2 )T2 E2
Putting I2 = 0 as, Z21 =
I1
1 1 I2 =0
Y1 = , Y2 =
5 20  44 − 60  16
E2 = I1   =− I
I2 = E2 Y3 + (−E1 + E2 )Y2  11  11 1

1 From equation (i), we get


Y3 =
10 E1 6
 Z11 = = .
In matrix form, I1 I2 =0
11

I1   Y1 + Y2 −Y2  E1  3. Using  − Y conversion, we have


 =  
I2   − Y2 Y2 + Y3  E2  Z ABZAC 2
Here, ZAP = = = 0.5
ZAB + ZAC + ZBC 4
1
Y12 = −Y2 = − = −0.05 mho
20 Z ABZBC 2
ZPB = = = 0.5
2. ZAB + ZBC + ZAC 4

Z ACZBC 2
ZPC = = = 0.25
ZAB + ZBC + ZAC 4

Loop law’s to both the loops,


11E1 = 6I1 + 4I2 …(i)

E2 = (I1 + I2 )4 − 10 E1 …(ii)

Putting the expression for E1 from equation


(i) in equation (ii), we get
Now, from the resultant network,
10[2I1 + 4(I1 + I2 )]
E2 = (I1 + I2 )4 − V1 = 2.75 I1 + 0.25 I2
11
= Z11I1 + Z12I2
 20 40   40I2 
= I1 4 − − + I2 4 − Thus, Z11 = 2.75,
 11 11   11 
Z12 = 0.25

76
www.gradeup.co

4. V1 = I1Z11 + I2Z12 In terms of ABCD parameters,


n 0 
V2 = I1Z21 + I2Z22  V1     I1 
   = 1  
 I1  0  −I2 
V1  n 
Z11 =
I1 I2 = 0 Comparing with the given matrix,
1
V1 V1 X=
= i;I1 − i = n
(2 + 2j) 2 + 2j
 V1  h11 h12   I1 
V1 V1 V 6.  =  
I1 = + = 1  I2  h21 h22   V2 
2 + 2j 2 + 2j 1 + j
For given circuit
Z11 = 1 + j
V1 − 10I1 − 20(I1 + I2 ) = 0;

V2 − 20(I1 + I2 ) = 0

 V1 − 30 I1 + 20 I2 = 0;

V2 − 20 I1 + 20 I2 = 0

V1 = 30I1 + 20I2 ; V2

= 20 I1 + 20 I2

 V1 − V2 = 10 I1

V2  V1 = V2 + 10 I1
Likewise Z22 = =1+ j
I2 I1 =0 Now, V1 = h11I1 + h12 V2

V2 V2  h11 = 10,
− = I1
2j 2
and h12 = 1
V2 20 I2 = V1 − 30 I1
= −1 + j
I1
= V2 + 10 I1 − 30 I1
= Z12
= V2 − 20 I1
Likewise, Z21 = −1 + j 1
 I2 = V − I1
20 2
Z11 Z12   1 + j −1 + j
 =   h21 = −1 and h22 = 0.05
Z21 Z22   −1 + j 1 + j 
10 1 
5. For given transformer Hence, h-parameter are  
 −1 0.05 
V1 N1
= =n 7. V1 = reI1 …(i)
V2 N2
V2
or, V1 = V2n I2 = I1 + …(ii)
r0
I1 N −1
and =− 2 = Rearranging equation (ii), we get
I2 N1 n
V2 = − r0I1 + r0I2 …(iii)
I
or, I1 = 2 By equations (i) and (iii), we get
n
Z12 = 0, Z21 = −r0

77
www.gradeup.co

8. Given circuit is 10. Given (i)V1 = 10V, I1 = −3A.

V2 = 3V

 V1 = AV2 − BI2.

10 = 3A + 3B ….(1)
Given (ii) V2 = 5V, I2 = -2A
10 = 5A + 2B …(2)
 I1   Y11 Y12   V1 
 =   10 20
I 2   Y21 Y22   V2  A= ,B =
9 9
I1 1 1
Y11 = = = =4 Now, V1 = 8V, (V2 )oc = ?, I2 = 0
V1 V2 =0
0.5110  5 0.25
V1 = AV2 − BI2.
I 1
Y12 = 1 = =2 8 = A(V2 )oc − B  0
V2 V1 =0
0.5
8 8
(V2 )oc = = = 7.2 V
A 10
9

11. Given V1 = 10 V, V2 = −7.I2.

V1 = AV2 − BI2.
I 1
Y21 = 2 = =2 10 = −7I2.A − BI2
V1 V2 =0
0.5
10 − 7I2.A A − BI2

70 20
10 = − I2 − I
9 9 2

I2 = −1A

I2 1  Here, negative sign indicates that


Y22 = = =4
V2 V1 =0
0.5110  5 current is drawn is drawn from the input

Hence short-circuit admittance parameter source yr

matrix is 12. For the two-part network

4 2  1 1 1 
Y =  S.  30 + 10 −
30 
2 4  Ymatrix = 
 −1 1
+
1 
9. V1 = 100V + 25I1 , V2 = −I2RL  30 60 30 

V2 = −100I2 Zmatrix = [Y]−1

I2 = Yz1V1 + Yz2 V2  0.1333 −0.0333


Z= 
 − 0.01V2 = 0.01 V1 + 0.1V2  −0.0333 0.05 

V2 −1 9 6 
 = Z= 
V1 11 6 24

78
www.gradeup.co

13. 15. For T-network

Z11 = Za + Zc
 Y + Yb −Yb  Z22 = Zb + Zc
[Y] =  a 
 −Yb Yb + Yc 
And
Z12 = Z21 = Zc

Given
2j j 
[Z] =  
 j 3 + 2 j
Therefore
0.2 + 0.1 −0.2   0.3 −0.2
[Y] =  =  Z12 = j
 −0.2 0.1 + 0.2  −0.2 0.3 
and
 If negative sign is ignored, option A. is
Z22 = 3 + 2j
correct.
= 3 + j + j
14. For the standard ‘T’ network, obtain the Z-
= Zb + Zc = Rb + j + Zc
matrix first and then convert it into T-

matrix  Rb = 3

Hence the value of Rb is 3.


7 + j4 2 
Z= 
 2 7 − j4 16. I2 = 0 :

Z = [(7 + j4)(7 − j4)] − 4

= 49 + 16 – 4 = 16

Z11 7 + j4
A= = = 3.5 + j2
Z21 2

Z 61
B= = = 30.5
Z21 2

1 1
C= = = 0.5
Z21 2

Z22 7 − j4
D= = = 3.5 − j2
Z21 2

3.5 + j2 30.5 
T = 
 0.5 3.5 − j2

79
www.gradeup.co

V1 36 18.
Z11 = = 2 ;
I1 I1 = 0
3+6

6
V2 = −3  I1  = −2I1
6+3

V2
V21 = = −2
I1 ABCD parameters: V1 = AV2 –BI2
I2 =0

I1 = CV2 –DI2
I1 = 0:
 V 2 = −I2RL

V1 AV2 − BI2
Now, =
I1 CV2 − DI2

−A.RL − BI2 ARL + B


=
C.RL I2 − DI2 CRL + D

Input impedance
V1 ARL + B
=
V2 I1 CR L + D
Z22 = = 3 || 6 = 2 
I2 I1 =0 19. Given: For I1 = 0, V1 = 4.5 V, V2 = 1.5 V,
I2 = 1A.
V 3
Z12 = 1 −6 = −2  For I2 = 0, V1 = 6 V, V2 = 6 V, I2 = 4A.
I2 I1 =0
3+6
V1 6
 2 −2  Z11 = = 1.5 ,
[Z] =   I1 I2 =0
4
 −2 2 
V1 4.5
−V1 Z12 = = 1.5 ,
17. B = I2 1
I1 V2 =0
I2 =0

V2 6
 Port 2 is short-circuited. Z21 = = 1.5 ,
I1 I2 =0
4

V2 1.5
Z22 = = 1.5 .
I2 I1 =0
1

Z Z12  1.5 4.5


[Z] =  11 = 
Z
 21 Z22  1.5 1.5 

V1 4.5
20. h12 = = =3
V1 7V1 7V1 V2 1.5
 I1 = = = I1 =0
2  5 14 + 10 24
2+ I2
2+5 1
h22 = = = 0.67.
V2 I1 = 0
1.5
5 5V1
 I2 = −I1  =
5+2 24  When, V2 = 0 Z21 + I1 + Z22I2 = 0
−V1 24 Z21
B= = = 4.8  I2 = − I
I2 5 Z22 1

80
www.gradeup.co

 Z  from eq and (3), we get


V1 = Z11I1 + Z12  − 21 .I1 
 Z22  I2 15
h22 = = = 1.25
V2 12
V1 Z .Z
 h11 = = Z11 − 12 21
I1 Z22 22. Consider it as new solution

4.5  1.5 For a two-port passive network to be


1.5 − = −3.
1.5 reciprocal.

I2 Z21 −1.5 A B
 h21 = =− = = −1 T = =1
I1 Z22 1.5 C D
V 2 =0
23. Old Parameters –
h h12   −3 3 
[h] =  11 =  V1 = 40i1 + 60i2 …(i)
h21 h22   −1 0.67
V2 = 60i1 + 120i2 …(ii)
21. If two-port networks are connected in
Zth by testing method
parallel, then their y-parameters are
added.

KVL in mesh (i)


10i1 + V1 = 0
For Network: V1 = –10i1
1 1 1   2 1 And i2 = 1A
3 + 3 −  
3 3
− 
3
[y1 ] =  = 
 − 1 1 1   1 2 
 3 + −
3 3   3 3 
For Network 2:
1 1 1   1
 + −
2 =
1 − 
[y2 ] =  2 2  
2

 −1 1 1  1
+ − 1  From eq. (i)
 2 2 2   2 
V1 = 40i1 + 60 × 1 …(iv)
 5 5 –10i1 = 40i1 + 60
 3 − 
6
[y] = [y1 ] + [y2 ] =   i1 = –6/5
− 5 5 
 6 3 
5 5
I1 = Y11V1 + Y12 V2 = V1 − V2 …(1)
3 6 = –72 + 120
−5 5 = 48 V
I2 = Y12 V1 + Y22 V2 = V + V …(2)
6 1 3 2 ∴ to deliver max. power to load zL

I2 ZL = Rth
 h22
V2 I1 =0 =
1
from eq(1), V1 = V …(3) = 48 Ω
2 2
❖❖❖❖

81
6
www.gradeup.co

Graph Theory

Analysis of Previous GATE Papers

2017 Set 1

2017 Set 2

2016 Set 1

2016 Set 2

2016 Set 3

2015 Set 1

2015 Set 2

2015 Set 3
2020

2019

2018
Year →
Topic
Topic ↓

MCQ Type
1 Mark
Numerical Type
Linear Oriented
MCQ Type
Graphs 2 Marks
Numerical Type
Total

82
www.gradeup.co

LINEAR ORIENTED GRAPH

1. The differential equation for the current i(t)

in the circuit of the figure is

d2i di
A. 2 +2 + i(t) = sin t
d2 t dt
[2004: 1 Mark]
d2i di 3. In the following graph, the number of trees
B. 2 + 2 + 2i(t) = cost
dt dt (P) and the number of cut-sets (Q) are
d2i di
C. 2 +2 + i(t) = cost
2
dt dt

d2i di
D. +2 + 2i(t) = sint
2
dt dt

[2003: 2 Marks]

2. Consider the network graph shown in the A. P = 2, Q = 2


figure. Which one of the following is NOT a B. P = 2, Q = 6
C. P = 4, Q = 6
‘tree’ of this graph?
D. P = 4, Q = 10
[2008: 1 Mark]

ANSWER

1. C 2. B 3. C

83
www.gradeup.co

SOLUTION

1. Using KVL around the loop, we have Different cut set are as shown in figure
di(t) 1 (b):
sin t = 2i(t) + 2 +  i(t).dt
dt C
2di(t) d2i(t)
Differentiating, cost = +2 + i(t)
dt dt2
d2i di
i.e. 2 +2 + i(t) = cost
dt 2
dt
2. Options (b) contains a loop and for a tree
there is no loop exist.
3. Different trees are shown here in figure
(a):

Fig. (b)
Fig. (a)

❖❖❖❖

84
7
www.gradeup.co

Network Function

Analysis of Previous GATE Papers

2017 Set 1

2017 Set 2

2016 Set 1

2016 Set 2

2016 Set 3

2015 Set 1

2015 Set 2

2015 Set 3
2020

2019

2018
Year →
Topic
Topic ↓

MCQ Type
1 Mark
Numerical Type

Transfer Function MCQ Type


2 Marks
Numerical Type

Total

MCQ Type
1 Mark
Numerical Type

Driving Point Function MCQ Type


2 Marks
Numerical Type

Total

MCQ Type
1 Mark
Numerical Type

Filters MCQ Type


2 Marks
Numerical Type

Total

85
www.gradeup.co

TRANSFER FUNCTION 3(s + 3) 2(s + 3)


A. 2
B. 2
s + 2s + 3 s + 2s + 2
1. If the transfer function of the following 3(s + 3) 2(s − 3)
C. D.
V (s) 1 2
s − 2s − 2 2
s − 2s − 3
network is a = ,
V(s)
i 2 + sCR [2003: 2 Marks]
4. The first and the last critical frequency of
an RC-driving point impedance function
must respectively be
A. a zero and a pole
B. a zero and a zero
C. a pole and a pole
the value of the load resistance RL is D. a pole and a zero
A. R/4 B. R/2 [2005: 1 Mark]
C. R D. 2R 5. The first and the last critical frequencies
[2009: 1 Mark] (singularities) of a driving point impedance
V2 (s) function of a passive network having two
2. The transfer function of the circuit
V1 (s) kinds of elements, are a pole and a zero

shown below is respectively. The above property will be


satisfied by
A. RL network only
B. RC network only
C. LC network only
D. RC as well as RL networks
[2006: 1 Mark]
0.5s + 1 3s + 6
A. B. 6. A negative resistance Rneg is connected to a
s +1 s+2
passive network N having driving point
s+2 s +1
C. D. impedance as shown below. For Z 2(s) to be
s +1 s+2
positive real
[2013: 1 Mark]

DRIVING POINT FUNCTION

3. The driving-point impedance Z(s) of a


network has the pole-zero locations as
shown in the figure. If Z(0) = 3, then Z(s)
is
A. Rneg  Re Z1(j), 

B. Rneg  Z1(j) , 

C. Rneg  ImZ1(j) , 

D. Rneg  Z1(j) , 

[2006: 1 Mark]

86
www.gradeup.co

7. The driving point impedance of the 9. The RC circuit shown in figure is


following network

0.2s
is given by Z(s) = 2
. The
s + 0.1s + 2 A. a low-pass filter
component values are B. a high-pass filter
A. L = 5 H, R = 0.5 , C = 0.1F C. a band-pass filter
B. L = 5 H, R = 0.5 , C = 5F D. a band-reject filter

C. L = 5 H, R = 2 , C = 0.1F [2007: 1 Mark]

D. L = 0.1 H, R = 2 , C = 5F 10. Two series resonant filters are as shown in


the figure. Let the 3-dB bandwidth of Filter
[2008: 2 Marks]
1 be B1 and that of filter 2 be B2. The value
FILTER of B1/B2 is

8. The circuit of the figure represents a

A. low pass filter


B. high pass filter
A. 4 B. 1
C. band pass filter
1 1
D. band reject filter C. D.
2 4
[2000: 1 Mark] [2008: 2 Marks]

87
www.gradeup.co

ANSWER

1. C 2. D 3. B 4. D 5. B 6. A 7. D 8. D 9. C 10. D

SOLUTION

1. Redrawing the circuit in s-domain, K(s + 3)


3. From the figure, Z(s) =
(s + 1 + i)(s + 1 − i)
K(s + 3)
=
[(s + 1)2 + 1]

 R 
 L 
 sC 
  1  RL
  R L +  
V0 (s)   sC   (sCRL + 1)
= =
V(s) RL RL
i
R+
R+ sC sCR L +1
1 As, Z(0) = 3
sC 3K
then, =3
V0 (s) RL 2
=
V(s)
i sCR.RL + R + RL or K = 2

V0 (s) 1 2(s + 3)
On comparing with = then, Z(s) =
V(s) 2 + sCR (s + 1)2 + 1
i

2(s + 3)
R = RL = 2
s + 2s + 2
2. Taking Laplace transformation of the 4. For Series RC network
circuit,

By applying voltage devider rule: Fig. A.


4
10
10  103 +
V2 (s) = s  V1(s)
3 104 104
10  10 + +
s s
1
V2 (s) 1 + s s +1
= =
V1 (s) 2 s+2
1+
s
Fig. B.

88
www.gradeup.co

1 RCs + 1 10
Z=R+ ;Z = Y(s) = 5s + 0.5 +
Cs Cs s
−1 1 1
Z has pole at origin and zero at s = Comparing with, Y(s) = Cs + +
RC R sL
From figure B., first critical frequency is at
1 1
s = 0 and last critical frequency is at C = 5F,R = = 2 ,1 = = 0.1 H.
0.5 10
−1
s= 8. Analyzing the circuit for low frequency
RC
For parallel RC network (  0) and high frequency ( → )

1
R
Cs = R
Z= V0 RL
1 RCs + 1 = (finite value)
R+ Vs R S + RL
Cs
From figure D., first critical frequency is at At  → ,

−1
s= (pole) and last critical frequency is
RC
zero.
5. RC impedance function has
(i) first critical frequency due to pole,
(ii) last critical frequency due to zero.
V0 RL
= (finite value)
6. For Z2(s) to be positive real Vs R L + R S
Re{Z1(s)}  Rneg
1
At  = (resonant frequency),
 Rneg  Re{Z1(j)} all . LC

7. Redrawing the circuit in s-domain.

0.2s
Z(s) =
s2 + 0.1s + 2

s2 + 0.1s + 2 s 1 2
Y(s) = = + +
.2s 0.2 2 0.2s

89
www.gradeup.co

9. At  →  : There is no resonant frequency because of

Redrawing the circuit (for high frequency), absence of S0, the frequency response of
the circuit is

V0
=0
Vi

At  → 0 : Hence, the circuit is band-pass filter.


10. In general, bandwidth of series RLC circuit
Redrawing the circuit (for low frequency),
R R
= Bandwidth of filter 1, B1 =
L L1

R R 4R
Bandwidth of filter 2, B2 = = =
L2 L1 L1
4
B1 1
V0  = .
=0 B2 4
Vi

❖❖❖❖

90
www.gradeup.co

91
www.gradeup.co

1
www.gradeup.co

SYLLABUS

C ontinuous-Time Signals: Fourier series and Fourier transform, sampling theorem


and applications. Discrete-Time Signals: DTFT, DFT, z-transform, discrete-time
processing of continuous-time signals. LTI Systems: definition and properties, causality,
stability, impulse response, convolution, poles and zeroes, frequency response, group delay,
phase delay.

CONTENT
S.No. Chapter Page No.

1. Basics of Signals & Systems …..…………………………………..……………………………………. 4-11

➢ Transformation & Classification of Continuous & Discrete-Time ……..…………….…………… 5


➢ Classification of Continuous & Discrete-Time Systems ……….………...…………………................ 7
Answer ………………………………………………………………………………………………………………………. 8
Solution ……………………………………………………………………………………………………………………... 8-11

2. LTI Systems ……………….…………………………………………………………………….………………. 13-19

➢ Properties ………………………………………………………………………………………………………………... 14
➢ Convolution …………….…………………………………………………................................................................ 15
➢ Interconnection ……….…………………………………………………................................................................ 16
Answer ………………………………………………………………………………………………………………………. 16
Solution ……………………………………………………………………………………………………………………... 16-19

3. Fourier Series …………………………………………………………………………………………………. 21-26

➢ Continuous Time Fourier Series ……………………………………………………..………………….……… 22


➢ Discrete-Time Fourier Series …………..……………………………………................................................... 24
Answer ………………………………………………………………………………………………………………………. 24

Solution ………………………………………………………………………………………………………………….….. 25-26

2
www.gradeup.co

S.No. Chapter Page No.

4. Fourier Transform ………..………………………………………………………………………………. 28-41

➢ Continuous-Time Fourier Transform ….…………………………………………………………………..…. 29


➢ Group & Phase Delay …………………….…..……………….............................................................................. 33
Answer …………………………………………………………………………………………………………………..….. 35
Solution …………………………………………………………………………………………………………………….. 35-41

5. Laplace Transform ………………..…….………………………………………………………………. 43-56

➢ Bilateral Laplace Transform ……………………....……………………………………………………………… 44


➢ Unilateral Laplace Transform ……………...………………………................................................................ 45
➢ Poles & zeros ………………………………………………………………………………………………….. 50
Answer ………………………………………………………………………………………………………………………. 50
Solution ………………………………………………………………………………………………………………….... 50-56

6. Z-Transform ……….……………………………………………………………..………………..………. 58-77

➢ Z-Transform of Discrete Signals ……..……………………………………………………………….………… 59


➢ Interconnection ……………………………………………..……………………………………………….………… 63
➢ Digital Filter Design ………………………………………..……………………………………………….………… 64
Answer ………………………………………………………………………………………………………………………. 65
Solution ………………………………………………………………………………………………………….………... 69-77

7. DTFT, DFT & FFT ….…………………………………………………………..…………………………. 78-85

➢ Fourier Transform Of Discrete-Time ……………………………………………………………….………… 79


➢ Discrete Fourier Transform …….……………………..……………………………………………….………… 79
➢ Fast Fourier Transform …..……………………………..……………………………………………….………… 81
Answer ………………………………………………………………………………………………………………………. 82
Solution ………………………………………………………………………………………………………….………... 82-85

8. Sampling ……..…….……………………………………………………………..…………………………. 87-93

➢ Sampling Theorem …………………….…..……………………………………………………………….………… 88


➢ Application ……….…………………………………………..……………………………………………….………… 90
Answer ………………………………………………………………………………………………………………………. 90
Solution ………………………………………………………………………………………………………….………... 90-93

3
1
www.gradeup.co

Basics of Signals &


Systems

Analysis of Previous GATE Papers

2017 Set 1

2017 Set 2

2016 Set 1

2016 Set 2

2016 Set 3

2015 Set 1

2015 Set 2

2015 Set 3
2020

2019

2018
Year →
Topic
Topic ↓

MCQ Type 1 2
1 Mark
Numerical Type 1 1

Transformation & Classification of


MCQ Type
Continuous & Discrete-Time
2 Marks
Numerical Type 1

Total 1 1 2 1 2

MCQ Type 1 1
1 Mark
Numerical Type
Classification of
Continuous & MCQ Type
Discrete-Time Systems 2 Marks
Numerical Type

Total 1 1

4
www.gradeup.co

TRANSFORMATION & CLASSIFICATION OF C. {− j5, j2, 0}.


CONTINUOUS & DISCRETE-TIME
D. {−4,1, 4}.
1. Let (t) denote the delta function. The [2005 :1 Mark]

 3t  5. The function x(t) is shown in the figure.
value of the integral 
(t) cos   dt is
2
− Even and odd parts of a unit-step function
A. 1 B. -1 u(t) are respectively,

C. 0 D.
2
[2001: 1 Mark]
2. If a signal f(t)has energy E, the energy of
the signal f(2t) is equal to
E
A. E B.
2 1 1 1 1
A. , x(t) B. − , x(t)
C.2E D. 4E 2 2 2 2

[2001: 1 Marks] 1 1 1 1
C. , − x(t) D. − , x(t)
2 2 2 2
3. Let P be linearity, Q be time-invariance, R
[2004:1 Marks]
be causality and S be stability. A discrete-
time system has the input-output 6. The power in the signal

relationship  
s(t)=8cos  20t −  + 4 sin(15t) is
 2 
x(n), n1

y(n)= 0, n=0 A. 40
x(n + 1), n  −1
 B. 41
where x(n) is the input and y(n) is the C. 42
output. The above system has the
D. 82
properties
[2005:1 Marks]
A. P, S but not Q, R.
7. The Dirac-delta function (t) is defined as
B. P, Q, S but not R.
1, t = 0
C. P, Q, R, S. A. (t)= 
0, otherwise.
D. Q, R, S but not P.
[2003: 2 Marks] , t = 0
B. (t) = 
0, otherwise.
4. Consider the sequence

x[n] = {−4 − j5,1 + j2, 4} , t = 0
C. (t)= 
0, otherwise.
and  (t)dt = 1.
The conjugate antisymmetric part of the −

sequence is 
, t = 0
A. {−4 − j2.5, j2, 4 − j2.5}.
D. (t)= 
0, otherwise
and  (t)dt = 1.
−

B. {− j2.5,1, j2.5}. [2006:1 Mark]

5
www.gradeup.co

8. The wavelength of a periodic signal jc(?)is d3y d2 y dy


A. + a1 + a2 a y
shown in the figure.
3
dt dt 2 dt 3

du d2u
= b3u + b2 + b1
dt dt2
(with initial rest conditions)
t
B. y (t) =  e(t −)u()d
0

C. y = au + b, b ≠ 0
 t − 1
A signal g(t) is defined by g(t)= x  . D. y = au
 2 
[2018:1 Marks]
The average power of g(t) is ………………
12. The sequence
[2015: 1Marks,Set-1]
9. Two sequences x1[n] and x2[n] have the  n 
x − 1 , for n even willbe
y(n)=   2 
same energy. Suppose x1[n]=0.5nu[n], 0, for n odd

where a is a positive real number and u[n]
is the unit step sequence. Assume
A.
 1.5, for n = 0,1
x2[n]= 

0,
 otherwise.

Then the value of a is ………


[2015 :2 Marks, Set-3]
10. The input x(t) and the output y(t) of a B.
continuous-time system are related as
t
y(t) =  x(u)du
t−T

The system is
A. linear and time-variant C.

B. linear and times-invariant


C. non-linear and time-variant
D. non-linear and time-invariant
[2017 :1 Mark,set-2]
D.
11. Let the input be u and the output be y of a
system, and the other parameters are real
constants. Identify which among the
following systems is not a linear system: [2005:2 Marks]

6
www.gradeup.co

13. Consider the signal f(t) = 1+2cos(  t)+ A. y(t)=x(t-2)+x(t+4)

 2    B. y(t)=(t-4)x(t+1)
3 sin  t  + 4 cos  t +  , where t is in
 3  2 4 C. y(t)=(t+4)x(t-1)
second. Its fundamental time period, in D. y(t)=(t+5)x(t+5)
seconds, is……………. [2008:1 Mark]
[20019: 1 Marks] 18. Letx(t) be the input and y(t) be the output
of a continuous time system. Match the
CLASSIFICATION OF CONTINUOUS &
system properties P1, P2 and P3 with
DISCRETE-TIME SYSTEMS
system relations R1, R2, R3, R4.
14. A system with an input x(t) and output y(t) Properties
is described by the relation: y(t)=tx(t). P1: Linear but NOT time-invariant
This system is P2:Time-invariant but NOT linear
A. linear and time-invariant P3:Linear and time-invariant
B. linear and time varying Relations
C. non-linear & time-invariant R1:y(t)=t2x(t)
D. non-linear and time-varying R2:y(t)=t|x(t)|
[2000:1 Marks] R3: y(t)=|x(t)|
15. A system with input x[n] and output y[n] is R4: y(t)=x(t-5)
 5  A. (P1, R1), (P2, R3), (P3, R4).
given as y(n)=  sin n  x(n).
 6  B. (P1, R2), (P2, P3), (P3, R4).
The system is C. (P1, R3), (P2, R1), (P3, R2).
A. Linear, stable and invertible D. (P1, R1), (P2, R2), (P3, R3).
B. non-linear, stable and non-invertible [2008:2 Marks]
C. time-varying system. 19. The input x(t) and output y(t) of a system
D. linear, unstable and invertible. t

[2006:2 Marks]
are related as y(t) =  x() cos(3)d. The
−
16. A Hilbert transformer is a
system is
A. non-linear system.
A. time-invariant and stable.
B. non-causal system.
B. stable and not time-invariant.
C. time-varying system.
C. time-invariant and not stable.
D. low-pass system.
D. not time-invariant and not stable.
[2007:2 Marks]
[2012:2 Marks]
17. The input and output of a continuous time
20. Consider a single input single output
system are respectively denoted by x(t)
discrete-time system with x[n] as input
and y(t). Which of the following
y[n] as output, where the two are related
descriptions corresponds to a casual
as
system?

7
www.gradeup.co

 n | x[n]|, for 0  n  10 21. The output y[n] of a discrete-time system


y[n] = 
x[n] − x[n − 1], otherwise for an input x[n] is

Which one of the following statements is

true about the systems?


The unit impulse response of the system is
A. It is causal and stable.
A. 0 for all n
B. It is causal but not stable.
B. unit impulse signal δ [n]
C. It is not causal but stable. C. unit step signal u[n]
D. It is neither causal nor stable. D. 1 for all n
[2017:1 Mark, Set-1] [2020:1 Mark]

ANSWER

1. A 2. B 3. A 4. A 5. A 6. A 7. D 8. 2 9. 1.49–1.51 10. A

11. C 12. A 13. 12 14. B 15. C 16. A 17. C 18. B 19. D 20. A

21. C

SOLUTION

 (0) y(n) = x(n+1), n ≤ -1


1. As −  (at)  (t) dt = | a | for any a < 0.
not causal.
  3t  cos 0 The system shows that not for bonded O/P
Thus − (t) cos  2  dt = 1
= 1.
but for bounded I/P
2. Energy content of a signal f(t),  it is stable.
 2
E= −| f(t) | dt ….(i)  The system is linear and stable but not

 time invariant and causal.


Now,E’= − | f(2t) |2 dt for signal
4. x[n] = [−4 − j5,1 + 2j, 4]
f(2t)Putting, 2t = z, we get

1  2
E’ =
2 − | f(z) | dz x[−n] = [4,1 + 2j, −4 − j5]

1
= E (from equation (i)) *
x [−n] = [4,1 − 2j, −4 + j5]
2

3. The equation is homogenous
 It is linear x[n] − x*[−n]
XCAS[n] =
y (n-n0) ≠x (n- n0) 2
 not time invariant XCAS [n] = [−4 − j2.5, j2, 4 − j2.5]

8
www.gradeup.co

u ( t ) + u(−t) 0 for x  0, 
5. Even part = (x) =  and  (x) dx = 1
2  for x = 0, −

Now u(t) = 0 ; t<0 The second property comes from the fact
= 1, t ≥ 0 that (x) represents the derivative of H(x).
 u(–t) = 0, –t < 0 The Dirac delta function is conceptually
= 1, –t ≥ 0
pictured in figure A.
i.e., u(–t) =1, t ≤ 0
= 0, t > 0

 u(t) + (−t) = 1 ; t  0 1 , t> 0


2 2 2

 Even [v(t)] = 1
2

 1  Figure: The Dirac- Delta Function


− , t  0
u(t) + u(−t)  2 8. From given figure,
Odd (u(t)) =  
2 1 , t  0 
 2  −3t −  t  1
x(t) = 
0, 1t 2
x(t)
= (from given figure)
2  t − 1  t 1
 g(t) = x   = x − 
6. Power of  2  2 2
    1 1
s(t) = 8 cos  20t −  + 4 sin(15t) −3  t −  , − 1  t −  1
 2  1  
2 2
x t −  =  
82 16 64 + 16  2   1
= + = = 40 0, 1t− 2
2
2 2 2
7. The Heaviside function H(x) is defined.   1 1 3
−3  t −  , −  t
0 for x  0   2 2 2
H(x)=  = 
1 for x  0  3 5
 0, t
2 2
The derivative of the Heaviside function is
zero for x ≠ 0. At x = 0 the derivative is  1 1 t 3
 −3(t − ), −  
 t 1  2 2 2 2
undefined. The derivative of the Heaviside x  − ,= 
2 2  0, 3 t 5
 
function is the Dirac delta function, (x). 
 2 2 2
The delta function is zero for x ≠ 0 and
 3
− (t − 1), − 1  t  3
infinite at the point x = 0. Since the = 
 2

 0, 3t 5
derivative of H(x) is undefined, (x) is not
a function is the conventional sense of the  3
− (t − 1), − 1  t  3
g(t) = 
 2 ;
word. 
 0, 3  t  5
The Dirac delta function is defined by the
 Time period, T = 6.
properties.

9
www.gradeup.co

Average power of 13. It is given that,


3 2
 
1  3  1 f(t) = 1+2cos  t+3sin 2 t+4cos  t + 
6 −1  2
g(t) = − (t − 1) dt =
 4 3  2 4 

3 Its fundamental frequency (0)


1
(t − 1)2 dt = 2.
4 −1
= HCF of (,2, ) 
0 = =
LCM of (1,3,2) 6

9. Energy of x1=  | x1[n]2 | 2 2  6
n =− Now, T0 = = = 12 sec
0 

= x1 =  | .(0.5)n.u[n]|2 14. For the system H to be linear, the
n =−
condition to be satisfied is
 2n  n
1 1
= 2.    = 2.    Here, ay1 (t) = at x1 (t)
n=0  4  n=0  4 
ay2(t) = at x2 (t)H (f1(x) +  f2(x)
2 1
4 2 = H(f1(x)+ H(f2(x)) ……(A)
=  . = .
1 3
1− a[y1(t)+ y2(t)) = at[x1(t)+ x2(t)]
4
 Hence system is linear as condition (A) is
Energy of x2[n] =  | x2[n]2 |
satisfied.
n =−

2 2 If g(x) = H[f(x)] then for time-invariance


= x2[0] + x2[1] = 1.5 + 1.5 = 3
system
  4 = 3   = 1.5
2
g(x+x0)=H[f(x+x0)] ….(B)
3
Here y( t – t0)=( t- t0) x (t- t0)
10. Given input – output relationship describes
Therefore, system is time-variant as
integration over a fundamental period T.
condition (B) is not satisfied.
The integration over one period is linear
and time – invariant  5 
15. y[n] =  sin n  x(n)
 6 
11. Option (a) consists of homogeneous
differential equation with constant coeff. As -1 ≤ sin 5  n≤ 1,
6
Which constitutes a linear system. Option
Then, for bounded x[n], output y[n] is also
(b) has running integration input-output
bounded and the system is stable.
relationship, hence, linear system. Option
If any system to be invertible, input can be
(c) and(d) describe linear system if b = 0.
In option (c), b ≠ 0, hence system is non- determined from the output of the system.

linear. For this to be true two different input


signals should produce two different
n 
12. y(x) = x  − 1  ; n even
2  outputs. If some different input signals

=0 ; n odd produce the same output signal then by

 y(x) is shifted 1 towards left and axis processing output it can be said which
input produced the output.
expanded by 2

10
www.gradeup.co

18. R1: y(t) = t2x(t)  Linear and time variant


R2: y(t) = t|x(t)|  Non linear and time
variant.
R3 : y(t)=|x(t)|  Non linear and time
invariant

For different input x(n1) and x(n2), the R4: y(t)=x(t–5)  Linear and time

output remains same. Therefore, it can not invariant


t
be ascentained which input produce the
output and system is non-invertible
19. y(t) =  x() cos(3) d
−

16. Hilbert transformer Since y(t) and x(t) are related with some
function of time, so they are not time-
invariant.
Let. x(t) be bounded to some finite value k.
t

H() = − j sgn()
y(t) =  k cos (3 ) d  
−


− j = 1.e
− /2J
0 y(t) is also bounded. Thus, system is

+ j = 1.e
 + /2J
0 stable.
20. Since present output does not depend
For linear system. Qh () = −td
upon future values of input hence system
is causal and also every bounded input
produces bounded output, So we can say
that system is stable.

21.
So, Hilbert transformer is non-linear and
time invariant system.
Y(n) is 1 for all n.
17. A system is said to be causal if output
depends only on present and present and
past states only.

❖❖❖❖

11
www.gradeup.co

12
2
www.gradeup.co

LTI Systems

Analysis of Previous GATE Papers

2017 Set 1

2017 Set 2

2016 Set 1

2016 Set 2

2016 Set 3

2015 Set 1

2015 Set 2

2015 Set 3
2020

2019

2018
Year →
Topic
Topic ↓

MCQ Type 1
1 Mark
Numerical Type
Properties MCQ Type 2
2 Marks
Numerical Type
Total 1 4
MCQ Type 1
1 Mark
Numerical Type
Convolution MCQ Type
2 Marks
Numerical Type 1
Total 2 1
MCQ Type
1 Mark
Numerical Type
Interconnection MCQ Type
2 Marks
Numerical Type 1
Total 2

13
www.gradeup.co

PROPERTIES 4. The impulse response h(t) of a liner time-


invariant continuous time system is
1. The impulse response functions of four
described by h(t) = exp(t)u(t)+exp(t)u
linear systems S1, S2, S3, S4 are given
(-t), where u(t) denotes the unit step
respectively by
function, and and are real constants.
h1(t) = 1, h2(t) = u(t), h3(t)= u(t) This system is stable if
t +1
A.  is positive and  is positive
h4(t) = e-3tu(t)
B. is negative and  is negative.
Where u(t) is the unit step function. Which
C.  is positive and  is negative.
of these systems is time invariant, causal,
D. is negative and  is positive.
and stable?
[2008 :1 Marks]
A. S1 B. S2
5. A system is defined by its impulse
C. S8 D. S4
response h(n)=2 u(n-2). The system is
n

[2001 : 2 Marks]
A. stable and causal
2. The impulse response h[n] of a linear time-
B. causal but not stable
invariant system is given by
C. stable but not causal
h [n]=u[n+3] +u[n-2] -2u[n-7], D. unstable and noncausal
where u[n] is the unit step sequence. The [2011 :1 Marks]
above system is 6. The impulse response of an LTI system can
A. Stable but not causal. be obtained by
B. Stable and causal. A. differentiating the unit ramp response.
C. Causal but unstable. B. differentiating the unit step response.
D. Unstable and not causal. C. integrating the unit ramp response.
[2004 : 1 Mark] D. integrating the unit step response.
3. Which of the following can be impulse [2015 :1 Mark, Set-3]
response of a causal system? 7. Which one of the following is an eigen

A. function of the class of all continuous-time,


linear, time-invariant system (u(t) denotes
the unit-step function)?
B. j0t
A. e u(t) B. Cos ( 0 t )

C. e j0t D. Sin ( 0 t )
C.
[2016: 1Marks,Set-1]
8. A network consisting of a finite number of
linear resistor (R), inducer (L), and
D.
capacitor (C) elements, connected all in
series or all in parallel, is excited with a
[2005 :1 Mark] source of the form

14
www.gradeup.co

3 otherwise. The system is given an input


 ax cos (k0t ) , where ak  0, 0  0.
k =1 sequence x[n] with x[0] = x[2]=1 and
The source has nonzero impedance. Which zero otherwise. The number of nonzero
one of the following is a possible form of samples in the output sequence y[n], and
the output measured across a resistor in the value of y[2] are, respectively
the network? A. 5, 2 B. 6, 2
3
C. 6, 1 D. 5, 3
A.  bx cos (k0t + k ) , where bk  ak ,  K
k =1
[2008:2 Marks]
3
12. Let y[n] denote the convolution of h[n] and
B.  bx cos (k0t + k ) , where bk  0,  K
k =1
n
1
3 g[n], where h[n] =   u[n] and g[n] is a
C.  ax cos (k0t + k ) 2
k =1
1
2 causal sequence, If y[0] = 1 and y[1] =
D.  ax cos (k0t + k ) 2
k =1
then g[1] equals
[2016 :2 Marks, Set-1]
1
A. 0 B.
2
CONVOLUTION
3
C. 1 D.
9. Convolution of x(t+5) with impulse 2

function (t-7) is equal to [2012:2 Marks]

A. x(t-12) B. x(t+12) 13. Consider a discrete-time signal


C. x(t-2) D. x(t+2) n for 0  0  10
x[n] = 
[2002:1 Marks]  0, otherwise.
10. The impulse response h[n] of a linear time If y[n] is the convolution of x[n] with itself,
invariant system is given as the value of y[4] is …………..
−2 2, n = 1, − 1 [2014:2 Marks, Set-2]


h[n] = 4 2, n = 2, − 2 14. The sequence x[n] = 0.5n u[n] is the unit
 0, otherwise

 step sequence, is convolved with itself to

If the input to the above system is the obtain y[n].


+
sequence e jn/4 the output is Then  y[n] is …………
n =−
jn/4 − jn/4
A. 4 2 e B. 4 2 e
[2014:1 Mark, Set-4]
jn/4 jn/4
C. 4 e D. −4 e 15. The result of the convolution

[2004:2 Marks] X(-t) * (-t –t0) is

11. A discrete time linear shift-invariant A. x(t+t0) B. x(t – t0)

system has an impulse response h[n] with C. X(-t +t0) D. x(-t – t0)

h[0] = 1, h[1]=-1, h[2]=2 and zero [2015:1 Mark, Set-1]

15
www.gradeup.co

16. Two discrete-time signals x[n] and h[n] are C. convolution of h1(t) and h2(t).
both non-zero only for n = 0, 1, 2 and zero D. subtraction of h2(t) from h1(t).
otherwise. It is given that [2013:1 Mark]
X[0] = 1, x[1] = 2, x[2] = 1, h[0] = 1. 18. Consider the parallel combination of two
Let y[n] be the linear convolution of x[n] LTI system shown in the figure.
and h[n]. Given that y[1] =3 and y[2] = 4,
the value of the expression (10y[3] + y[4]
is ………
[2017:2 Marks, Set-1]

INTERCONNCTION The impulse responses of the systems are


h1(t) = 2(t+2)-3(t+1),
17. Two systems with impulse responses, h1(t)
h2(t) = 5(t-2).
and h2(t) are connected in cascade. Then
If the input x(t) is a unit step signal, then
the overall impulse response of the
the energy of y(t) is ……….
cascaded system is given by
[2017:2 Marks, Set-2]
A. product of h1(t) and h2(t).
B. sum of h1(t) and h2(t).

ANSWER

1. D 2. A 3. B 4. D 5. B 6. B 7. A 8. C 9. C 10. D

11. A 12. A 13. 10 14. 4 15. D 16. 31 17. C 18. C

SOLUTION

1.  h1(t)  0 t  0  S1 is non-causal.  h4(t) = e−3tu(t) :


 h2 (t) = u(t) S4 is time-invariant, causal and stable.

8 8 2. For random variable X, the probability


 n2 (t)dt =  u(t)dt → ;  S2 is unstable. density function of the quantization error Q
−8 − is given as,
u(t) 1, 0  x 1
 h3 (t) = fx (x) = 
S +1 0, otherwise.

t = −1, n3 (t) →   S3 1
and  fx (x) = 1
is unstable. 0

16
www.gradeup.co

Now, Mean square value of quantization 6. Let h(t) be the impulse response of the
error is given by system
1
2Q = E[Q2 ] =  q2fx (x).dx
0

0.3 1
y(t) is unit step response of the system
2Q =  x2fx (x)dx +  (x − xq )2.fx (x).dx
0 0.3 If we need to get h(t), then we have to
0.3 1
differentiate y(t).
x3 2
Where q = x − xq =
3
+  (x − 0.7) .dx Thus differentiating the unit-step response
0 0.3
gives impulse response for LTI system.
2Q = 0.039 7. From, z-transform property,
Z−m  [n − m]
Root-mean square value = 2Q = 0.198
We have, X(Z)  5[n + 2] + 4[n − 1] + 3[n]
3. f(t) = 0 for t < 0 for causality 8. Impulse response of the matched filter,
t t h(t) = s(T − t)
4. u(t) = e u(t) + e u(−t)
For the system to be stable, Given,

 u(t).dt  
−


t
or,  (e .u(t) + etu(−t)).dt  
−

 0
t t
or,  e  dt + e  dt   ...(A)
0 −

9. As, g(t) * (t − t0 ) = g(t0 )

then x(t + 5) * (t − 7) = x(t − 2)


For, the condition (A) to be satisfied,
10. h[n] = [4 2, − 2 2,0, − 2 2, 4 2]
 0 and   0
jn
n x[n] = e 4
5. h(n) = 2 u(n − 2)
y[n] = x[n]  h[n]
h(n) is existing for n > 2; thus
Using property x[n]  [n − n0 ] = x[n − n0 ]
h(n) = 0; n < 0; hence causal
  jn

 | h(n) =  2nu(n − 2) y[n] = e 4 [0 − 2 2  2 cos  / 4]


n =− n =
jn
 4
y[n] = −4e
2 n
= ; hence system is unstable
n =2

17
www.gradeup.co

11. Here the convolution of two sinc pulses is n for 0  n  10 


13. Given, x[n] =  
sinc pulse.  0 elsewhere 

y[n] = x[n]* x[n]


n
y[n] =  x[k].x[n − k]
k =0
4
 y[4] =  x[k].x[4 − k]
So, x1(t) = sin t
k =0
t 14. y[n] = x[n] * x[n]
Now x(t) = x1(t) * x1(t)
Let Y(ei) is F.T. pair with y[n]
X() = X1()  X1() = X1()  Y(ei) = X(ei) . X(ei)

 sin t 1 1
x(t) = x1(t) = Y(ei ) = 
t 1 − 0.5e− j 1 − 0.5e− j
So, the value of x(t) is sin t 
t also Y(ei ) =  y[n].e− jn
h =−
n
1 
1 1
12. Given: h[n] =   u[n] ,   y[n] = Y(e j0 ) =  =4
2 h =− 0.5 0.5

1 15. Using property of Impulse function,


g[n] = 0n  0,n  0, y[0] = 1, y[1] =
2
x(−t) * (−t − t0 ) = x(−t) * (t + t0 ) = x(−t − t 0 )

 y[n] =  h[n − k]g[k] 16. x[n] = {1, 2, 1}


k =−
h[n] = {1,a,b}
y[n] = [A, 3, 4, B, C}
h 1 a b
x
1 1 a b
2 2 2a 2b
 y[0] =  h − [k]  g[k]
1 1 a b
k =−

y[0] = n[0] . g[0] y[0] = 1; y[1] = 2 + a; y[2] = 1 + 2a + b;


y[3] = a + 2b; y[4] = b
Given, y[1] = 2 + a = 3  a = 1
and y[2] = 1 + 2a + b = 4  b = 1
y[3] = a + 2b = 1 + 2(1) = 3

 y[1] =  h[1 − k]  g[k] and y[4] = b = 1


k =−
 10y[3] + y[4] = (10 × 3) + 1 = 31
= g[k] g[0] + h[0] . g[1]
17. h(t) = h1(t)  h2 (t)
h[1-k] will be zero for k > 1 and g[k] will
be zero for k < 0 as it is causal sequence.
1 1
=  1 + 1  g[1]  g[1] = 0 .
2 2

18
www.gradeup.co

18. Given: 
 Energy of y(t) =  y2 (t) dt
h1(t) = 2(t + 2) − 3(t + 1),h2 (t) = (t − 2) −

x(t) = u(t). = Area under the curve y2(t)


Overall impulse response, = (4 × 1) + (3 × 1) = 7.
h(t) = h1(t) + h2(t)
= 2 (t+2) - 3(t + 1) + (t – 2)
 u(t) = x(t) * h(t)
= u(t) [2(t+2) - 3(t + 1) + (t-2)}
= 2u(t + 2) – 3u(t + 1) + u(t – 2)

❖❖❖❖

19
www.gradeup.co

20
3
www.gradeup.co

Fourier Series

Analysis of Previous GATE Papers

2017 Set 1

2017 Set 2

2016 Set 1

2016 Set 2

2016 Set 3

2015 Set 1

2015 Set 2

2015 Set 3
2020

2019

2018
Year →
Topic
Topic ↓

MCQ Type 1 1 1
1 Mark
Numerical Type
Continuous Time
MCQ Type 1
Fourier Series
2 Marks
Numerical Type

Total 1 3 1

MCQ Type
1 Mark
Numerical Type
Discrete-Time
MCQ Type
Fourier Series
2 Marks
Numerical Type 1

Total 2

21
www.gradeup.co

CONTINUOUS TIME FOURIER SERIES C. exp (–|t|)sin (25t)


D. 1
1. One period (0, T) each of two periodic
[2015 : 1 Marks]
waveforms W1 and W2 are shown in the
5. The Fourier series of a real periodic
figure. The magnitudes of the nth Fourier
function has only
series coefficients of W1 and W2, for n ≥ 1,
P. Cosine terms if it is even
n is odd, are respectively proportional to
Q. Sine terms if it is even
1 1
R. Cosine terms if it is odd
W1 W2
S. Sine terms if it is odd
T/2 T T
Which of the above statements are
0 0 T/2
correct?
A. P and S B. P and R
–1 –1
C. Q and S D. Q and R
A. |n|–3 and |n|–2 B. |n|–1 and |n|–3
[2009 : 1 Marks]
C. |n|–1 and |n|–2 D. |n|–4 and |n|–2
6. The trigonometric Fourier series of an even
[2000 : 2 Marks]
function does not have the
2. Which of the following cannot be the
A. dc term
Fourier series expansion of a periodic
B. cosine terms
signal?
C. sine terms
A. x(t) = 2cost + 3cos3t
D. odd harmonic terms
B. x(t) = 2cosπt + 7cost
[2011 : 1 Marks]
C. x(t) = cost + 0.5
7. For a periodic signal
D. x(t) = 2cos1.5πt + sin3.5πt
v(t) = 30sin100t + 10cos300t
[2002 : 1 Mark]

+ 6sin(500t + ),
3. The Fourier series expansion of a real 4
periodic signal with fundamental frequency the fundamental frequency in rad/s is
f0 is given by A. 100 B. 300
m C. 500 D. 1500
gp (t) =  cne j2f0t ;
n =− [2013 : 1 Marks]
it is given that c3 = 3 + j5. Then c3 is 8. A discrete-time signal x [n] = sin (π2n), n
A. 5 + j3 B. –3 – j5 being an integer, is
C. –5 + j3 D. 3 – j5 A. periodic with period π.
[2003 : 1 Mark] B. periodic with period π2
4. Choose the function f(t), –∞< t <∞, for

C. periodic with period .
which a Fourier series cannot be defined? 2
A. 3sin (25t) D. not periodic.
B. 4cos (20t + 3) + 2sin(710t) [2014 : 1 Marks, SeM]

22
www.gradeup.co

9. Consider the periodic square wave in the 11. A periodic signal x(t) has a trigonometric
figure shown. Fourier series expansion
x(t) 
x(t) = a0 +  (ancos n 0t + bn sinn0t)
1 n =1

If x(t) = –x(–t) = –x(t – π/ω0), we can


0 1 2 3 4 t conclude that
–1 A. an are zero for all n and bn are zero for n

even.
The ratio of the power in the 7th harmonic
B. an are zero for all n and bn are zero for
to the power in the 5th harmonic for this
n odd.
waveform is closest in value to _____.
[2014 : 1 Marks, Set-2] C. an are zero for n even and bn are zero

10. The magnitude and phase of the complex for n odd.

Fourier series coefficient ak of a periodic D. an are zero for nodd and bn are zero for
signal x(t) are shown in the figure. Choose n even.
the correct statement from the four 12. Let x(t) be a continuous time periodic
choices given. Notation: C is the set of signal with fundamental period T = 1
complex number, R is the set of purely real
seconds. Let {ak} be the complex Fourier
numbers, and P is the set of purely
series coefficients of x(t), where k is
imaginary numbers.
integer valued. Consider the following
3 |ak| 3
statements about x(3t):
2 2
1 I. The complex Fourier series coefficients

of x(3t) are {ak} where k is integer valued.


k
–5 –4 –3 –2 –1 0 1 2 3 4 II. The complex Fourier series coefficients

a k of x(3f) are {3ak} where k is integer

–5 –4 –3 –2 –1 1 2 3 4 valued.

0 III. The fundamental angular frequency of

− x(3t) is 6π rad/s.

A. x(t) ∊ R Find the three statements above, which


B. x(t) ∊ P one of the following is correct?
C. x(t) ∊ (C – R) A. Only II and III are true
D. The information given is not sufficient to B. Only I and III are true
draw any conclusion about x(t)
C. Only III is true
[2015 : 1 Marks, Set-2]
D. Only I is true

23
www.gradeup.co

13. Let x(t) be a periodic function with period T D. f has frequency components at 0, 1
= 10. The Fourier series coefficients for 2

this series are denoted by ak, that is and 1 Hz



 2
jk t
x(t) =  ak e T [2009 : 1 Marks]
k =−

The same function x(t) can also be DISCRETE-TIME FOURIER SERIES


considered as a periodic function with
 n 
period T’ = 40. Let bk be the Fourier series 15. Let x[n] = 1 + cos   be a periodic signal
 8 
coefficients when period is taken as T’. If
  with period 16. Its DFS coefficients are
 | ak |= 16, then  | bk | is 15
k =− k =− defined by ak = 1    for
 x[n]exp  − j 8 kn 
16 n=0
A. 256 B. 64
C. 16 D. 4 all k. The value of the coefficient a 31 is

[2018 : 1 Marks] ____.


14. A function is given by f(t) = sin2t + cos 2t. [2015 : 2 Marks, Set-3]
Which of the following is true? 16. Consider a discrete time period signal
A. f has frequency components at 0 and n
x[n] = sin . Let ak be the complex Fourier
1 5
Hz
2 series coefficients of x[n]. The coefficients
B. f has frequency components at 0 and {ak} are non-zero when k = Bm ± 1,
1
Hz where m is any integer. The value of B is

______.
C. f has frequency components at 1 and
2 [2014 : 2 Marks, Set-1]
1
Hz

ANSWER

1. C 2. B 3. D 4. C 5. A 6. C 7. A 8. D 9. 0.5 10. A

11. A 12. B 13. C 14. B 15. 0.5 16. 10

24
www.gradeup.co

SOLUTION

1. Magnitude (W1) ∝ 1/n × n–1 6. Trigonometric Fourier series of an even


(W2) ∝ 1/n2 × n–2 function has dc and cosine terms only
2. x(t)= 2 cosπt + 7 cost, does not satisfy the while for odd function, only sine exist.

Direchlet condition x(t) is not periodic 8. Time period of a discrete signal,

Function, therefore, it can’t be expand as 0 K


=
2 N
Fourier series.
3. Using relation between Trigonometric
2K
N=
0
Fourier series coefficient and exponential
Fourier series coefficient
2K 2K
= =
2 

cn = an – jbn ….(i)
N is a irrational number so signal is not
c–n = an + jbn ….(A)
periodic.
Given c3 = 3 + j5
9. For a periodic sequence wave, nth harmonic
c3 = 3 – j(–5) …(ii)
1
component is 
Compare (i) with (ii) n
an = 3, bn = –5 1
⇒ Power in nth harmonic component is  2
Then using (A) n
4. All other function are either periodic or ⇒ Ratio of the power in 7th harmonic to
constant function. power in 5th harmonic for given waveform is
5. Fourier series for periodic function 1
 72 = 25  0.5
f(t) = a0 +  (an cos n0t + bn sinn0t) 1 49
n =1 2
5
1 T /3
10. ∠ak = –π only changes the sign of the
Here, a0 =
T − T /2 f(t)dt
magnitude |ak|. Since the magnitude
b0 = 0
spectrum |ak| is even, the corresponding
2 T /2
an =
T − T /2 f(t) cos n0t dt time-domain signal is real.
11. As signal has odd and half wave
2 T /2
bn =
T − T /2 f(t) sinn0t dt symmetries, hence all an are zero and bn
are zero for n even.
For even function, f(t) = f(–t)
12. Initially T = 1 sec, so ω0 = 2π rad/sec.
So, bn = 0
When x(t) is compressed by 3, frequency
Hence even function has only cosine terms.
will expand by same factor but there is no
For odd function, f(t) = –f(–t)
change in values of a k, ak remains
So, an = 0
constant.
Hence odd function has only sine terms. So, both statement I and III are correct.

25
www.gradeup.co

13. Change in only time period or frequency a1 = a–1+16


does not change in the value of Fourier ⇒ a–1 = a15 = 1/2
series coefficients. ⇒ a0 = 1, a1 = 1/L, a2 to a14 = 0, a15 = 1/2
So, bk = ak DFS coefficients are also periodic with
 
 | bk | =  | ak | =16 period 16.
k =− k =−
a31 = a16+15
1 cos 2t
14. f(t) = − + cos 2t a31 = a15
2 2
⇒ a31 = ½
1 cos(2t)
f(t) = +
2 2 16. Given x[n] = sin(πn/5); N = 10 ⇒ Fourier
Thus f(t) has frequency components series co-efficient are also periodic with
f1(dc) = 0 period N = 10
2 1
f2 = = Hz x[n] = 1/2je(j(2π/10)n)-1/2je(-i(2π/10)n)
2 
a1 = 1/2j; a-1 = - 1/2j
 
15. x[n] = 1 + cos  n  ⇒ a-1 = a-1 + 10 = a9 = -1/2j
8 
(a1 = a1 + 10), (a-1 = a-1 + 10)}
N = 16
2 n 2 n or a1 = a1 + 20
1 j 1 −j
x[n] = 1 + e 16 + e 16 a-1 = a-1 + 20
2 2
1 1 ⇒ k = 10m + 1
a−1 = , a1 = , a0 = 1
2 2 or k = 10m - 1 ⇒ B = 10

❖❖❖❖

26
www.gradeup.co

27
4
www.gradeup.co

Fourier Transform

Analysis of Previous GATE Papers

2017 Set 1

2017 Set 2

2016 Set 1

2016 Set 2

2016 Set 3

2015 Set 1

2015 Set 2

2015 Set 3
2020

2019

2018
Year →
Topic
Topic ↓

MCQ Type 1
1 Mark
Numerical Type 1 1
Continuous-Time
MCQ Type 1 1
Fourier Transform
2 Marks
Numerical Type 1 2

Total 2 2 4 1 1 3

MCQ Type
1 Mark
Numerical Type

Group & Phase Delay MCQ Type


2 Marks
Numerical Type

Total

28
www.gradeup.co

CONTINUOUS-TIME FOURIER TRANSFORM 5. Let x(t) and y(t) (with Fourier transforms
X(f) and Y(f) respectively) be related as
1. The Fourier Transform of the signal
shown in the figure. Then Y (f) is
2
x(t) = e–3t is of the following form, where

A and B are constants

–Bf 2 –Bt 2
A. Ae B. Ae
2
C. A + B f D. Ae–Bf

[2000 :1 Mark]

2.  –t
The Fourier transform F e u t is equal to ( )
A. –
1  f  –j2f
X e B. –
1  f  j2f
X e
2  2  2  2 
1  1 
.Therefore, F   is
1 + j2f 1 + j2 f  f f
C. –X   e j2f D. –X   e− j2f
2 2
B. e u ( f )
–f
A. ef u(–f)
[2004 : 2 Marks]
C. e u (–f ) D. e u (–f )
f –f
6. Match the following and choose the correct
[2002 :1 Mark] combination.
3. Let x(t) be the input to a linear, time- Group 1
invariant system. The required output is E. Continuous and aperiodic signal
4x(t – 2). The transfer function of the
F. Continuous and periodic signal
system should be
G. Discrete and aperiodic signal
j4f –j8 f
A. 4e B. 2e H. Discrete and periodic signal
–j4 f j8 f
C. 4e D. 2e Group 2
[2003 :1 Marks] 1. Fourier representation is continuous and
4. A rectangular pulse train s(t) as shown in aperiodic.
the figure is convolved with the signal 2. Fourier representation is discrete and

( )
cos2 4  103 t . The convolved signal will aperiodic.
3. Fourier representation is continuous and
be a
periodic.
4. Fourier representation is discrete and
periodic.
A. E-3, F-2, G-4, H-1
B. E-1, F-3, G-2, H-4

A. DC B. 12kHz sinusoid C. E-1, F-2, G-3, H-4

C. 8 kHz sinusoid D. 14 kHz sinusoid D. E-2, F-1, G-4, H-3

[2004 : 2 Marks] [2005 : 2 Marks]

29
www.gradeup.co

7. For a signal x(t) the Fourier transform is A. ,2 B. 0.5,1.5


X(f). Then the inverse Fourier transform of C. 0,  D. 2,2.5
X(3f + 2) is given by
[2008 : 2 Marks]
1  t j3t  1  t –j4t /3  Statement for Linked Answer
A.  e B.  e
2  2 
 2  2 

Questions 11 and 12.

C. 3x (3t ) e
–j4t The impulse response h(t) of a linear time-
D. x(3t + 2)
invariant continuous time system is given
[2005 : 2 Marks]
by h(t) = exp (–2t)u(t), where u(t)
8. The output y (t) of a linear time invariant
denotes the unit step function.
system is related to its input x(t) by the
11. The frequency response H(  ) of this
following equation:
system in terms of angular frequency  , is
Y(t) = 0.5x(t–td + T) +x(t–td)
given by, H () =
+ 0.5x(t–td–T).
1 sin ( )
The filter transfer function H ( ) of such a A. B.
1 + j2 
system is given by
1 j
C. D.
A. (1 + cos T ) e 2 + j 2 + j
–jtd

[2008 : 2 Marks]
B. (1 + 0.5 cos T ) e
–jtd
12. The output of this system, to the sinusoidal
C. (1 – cos T ) e
–jtd
input x(t) = 2cos(2t) for all time t, is
A. 0
D. (1 – 0.5 cos T ) e
–jtd

B. 2
–0.25
cos (2t – 0.125 )
[2005 : 2 Marks]
9. Let x(t)  X(j) be Fourier Transform pair. C. 2
–0.5
cos (2t – 0.125)

The Fourier Transform of signal x(5t – 3) in D. 2


–0.5
cos (2t – 0.25 )
terms of X (j) is given as [2008 : 2 Marks]
j3 j3
1 – 5  j  1 5  j  13. Consider a system whose input .r and
A. e X5 B. e X 5
5   5   output y are related by the equation

1 –j3  j  1 j3  j 
C. e X  D. e X  y (t) =  x ( t –  ) h ( 2 )
5  5  5 5  –

[2006 :1 Mark]
10. The signal x(t) is described by

1 for – 1  t  +1
x (t) = 
0, otherwise

Two of the angular frequencies at which its


Fourier transform becomes zero are Where h (t) is shown in the graph

30
www.gradeup.co

Which of the following four properties are 1 f


C. SY ( f ) = SX  
possessed by the system? 2 2
BIBO: Bounded input gives a bounded 1 f
D. SY ( f ) = SX   e j2f.
output 2 2

Causal: The system is causal. [2014 : 2 Marks, Set-3]

LP: The system is low pass. 17. For a function g(t), it is given that
+
LTI: The system is linear and time- 2
 g (t) e
–jt
dt = e–2 for any real value ω.
invariant. –

A. Causal, LP. B. BIBO, LTI. t +


If y ( t ) =  g (  ) d, then  y ( t ) dt is _ .
C. BIBO, Causal, LTI. D. LP, LTI. – –
[2009 : 2 Marks] A. 0 B. –j
14. The Fourier transform of a signal j j
C. – D.
2 2
(2 cos ) ( sin )
h (t) is H ( j) = [2014 : 2 Marks, Set-1]

18. The complex envelope of the bandpass
The value of h(0) is
  t  
A.
1
B.
1  sin   
4 2 x (t) = 2   5   sin  t –  
signal 
 t   4 
C. 1 D. 2  5 
 
[2012 : 2 Marks]
1
cantered about f = Hz, is
–t2 2
15. Let g (t) = e , and h (t) is a filter
  t     t  
matched to g(t). If g(t) is applied as input  sin   j    sin   –j  
 5 e 4  5 e 4
to h(t), then the Fourier transform of the A.  B. 
 t   t 
output is  5   5 
   
2 –t2 /2
A. e
–t
B. e   t     t  
 sin   j    sin   –j  
2  5 e 4 2  5 e 4
– f –2t 2 C. D.
C. e D. e  t   t 
 5   5 
[2013 : 2 Marks]    
16. Let x(t) be a wide sense stationary (WSS) [2015 : 2 Marks, Set-3]

random with power spectral density Sx(f). sin ( t ) sin ( t )


19. If the signal x(t) = * with *
t t
If Y(t) is the process defined as y(t) = x(2t
denoting the convolution operation, then
– 1), the power spectral density SY(f) is
x(t) is equal to
1 f
A. SY ( f ) = SX   e–jt sin ( t ) sin (2t )
2 2 A. B.
t 2t
1  f  –j /t
B. SY ( f ) = SX 2e 2 sin ( t )  sin ( t ) 
2
2   C. D. 
t  t 
 
[2016 :1 Mark, Set-3]

31
www.gradeup.co

 25. Consider an LTI system with magnitude


20. The value of the integral  sin c2 (5t ) dt is
–
response

_____.  f
1 – f  20
H (f ) =  20
[2014 : 2 Marks, Set-2]  0,
 f  20
21. A real-valued signal x(t) limited to the
and phase response arg {H ( f ) = –2f.
W
frequency band f  is passed through a
2 If the input to the system is
linear time invariant system whose    
x ( t ) = 8 cos  20t +  + 16 sin  40t + 
frequency response is  4  8

 –j4f W   
e , f  + 24 cos  80t + .

 2  16 
H (f ) = 
0, W
f  then the average power of the output

 2
signal y(t) is _____.
The output of the system is
[2017 : 2 Marks, Set-2]
A. x ( t + 4 ) B. x ( t – 4 )
26. The input 4 sin (2t) is fed to a Hilbert
C. x ( t + 2 ) D. x ( t – 2 )
transformer to obtain y(t), as shown in the
[2014 :1 Mark, Set-4] figure below:
22. Consider the function g(t) =

e–t sin (2t ) u ( t ) where u(t) is the unit step

function. The area under g(t) is ____.


sin ( x )
[2015 :1 Mark, Set-3] Here sin(x) = . The value (accurate
x
sin ( 4t )
23. The energy of the signal x (t) = is to two decimal places) of
4t

_____.  y(t)2 dt is ____ .
–
[2016 :1 Mark, Set-2]
24. A continuous time signal x(t) = 4cos [2018 : 2 Marks]
27. A 1 KHz sinusoidal signal is ideally sampled
(200t ) + 8 cos ( 400t ) . where t is in
at 1500 samples/sec and the sampled
seconds, is the input to a linear time
signal is passed through an ideal low-pass
invariant (LTI) filter with the impulse
filter with cut-off frequency 800 Hz. The
response
output signal has the frequency
 2 sin (300t )
 , t0 A. zero Hz
h (t) =  t
 600, t= 0 B. 0.75 k Hz

Let y (t) be the output of this filter. The C. 0.5 kHz
D. 0.25 kHz
maximum value of y ( t ) is ____.
[2018 : 2 Marks]
[2017 : 2 Marks, Set-1]

32
www.gradeup.co

28. A signal m(t) with bandwidth 500 Hz is The system under consideration is an RC
first multiplied by a signal g(t) where low-pass filter (RC-LPF) with R = 1.0 k
 and C = 1.0 F
 (–1)  ( t – 0.5  10–4k )
k
g(t) =
k =– [2002 :1 Mark]
The resulting signal is then passed through Data given below for two questions.
an ideal low pas filter with bandwidth 1 Solve the problem and choose the correct
kHz. The output of the low pass filter answer.
would be The system under consideration is an RC
A. (t) B. m(t) low-pass filter (RC-LPF) with R = 1.0 k

C. 0 D. m(t) (t) and C = 1.0 F.

[2018 : 2 Marks] 31. Let H (f) denote the frequency response of


the RC-LPF. Let f1 be the highest frequency
29. is the Fourier transform of x(t) shown
H (f )
below. The value of (rounded off such that 0  f  f1;  0.95. Then f1 (in
H (0)
to two decimal places) is ……… .
Hz) is
A. 327.8 B. 163.9
C. 52.2 D. 104.4
[2003 : 2 Marks]
32. Let tg(f) be the group delay function of the
given RC-LPF and f2 = 100 Hz. Then tg(f2)
in ms, is
A. 0.717 B. 7.17
C. 71.7 D. 4.505
[2003 : 2 Marks]
33. The phase response of a passband
[2020: 2 Marks]
waveform at the receiver is given by

GROUP & PHASE DELAY  ( f ) = – 2 ( f – fc ) – 2fc ,

where fc is the centre frequency, and  and


30. A linear phase channel with phase delay Tp
 are positive constants. The actual signal
and group delay Tg must have
propagation delay from the transmittance
A. Tp = Tg = constant.
to receiver is
B. Tp  f and Tg  f.
– 
A. B.
C. Tp = constant and f and Tg  f. + +
C.  D. 
D. Tp f and tg = constant (f denotes
[2014 :1 Mark, Set-3]
frequency).

33
www.gradeup.co

34
www.gradeup.co

ANSWER

1. B 2. C 3. C 4. A 5. B 6. C 7. B 8. A 9. A 10. A

11. C 12. D 13. B 14. C 15. D 16. C 17. B 18. C 19. A 20. 0.19–0.21

21. D 22. 0.02–0.03 23. 0.25 24. 8 25. 8 26. 8 27. C 28. B 29. 58.5 30. D

31. C 32. A 33. C

SOLUTION

2 2 1 2 2
1. e–t ⎯⎯
→ e–f s (t) = + cos 2 fst – cos 2  3 fst + ...
2  3
2 2

(2  2  10 ) t
 3   f 
–  t
   
– 
 3/   ()
and let h t = cos
2 3
e   ⎯⎯
→ e  
3

e–3t2
⎯⎯
→ Ae –Bf2
= +
( 3
1 cos 2  4  10 t )
2 2
2. f ( t ) = e– tu ( t ) Then, s ( t )  h ( t ) ⎯⎯
→ S ( f ) .H ( f )
1
Fourier transform of f ( t ) = L f ( t )  =
1 + j2f
Duality property states that, if g ( t )  G(f)
then G ( t )  g (–f )

1
Here F ( f ) =
1 + j2f
1
F (t) = andF ( t )  efu (–f )
1 + j2t
3. Output, y ( t ) = 4x ( t – 2 )

Its, Fourier transform, Y ( f ) = 4X ( f ) .e


–j4 f

Y (f )
and the transfer function, = 4e–j4 f
X (f )

4.

Using Fourier series expansion


n–1
1 2  (–1) Hence, option (a) is correct.
s (t) = +  cos 2 (2n– 1) fst
2  n =1 2 n – 1

35
www.gradeup.co

y ( t ) = x 2 ( t + 2)
 –jp –j3 
5. 1
=
5 –
x ( p ) .e 5
.e 5
.dp

Now, Using x ( t – t0 ) ⎯⎯


→ X ( f ) .e
–jft0

1 – j35 –
jp

1 f
=
5
.e  x (p ) .e 5
.dp
and x ( at ) ⎯⎯
–
→ X 
a  a
1 – j35  j 
= .e X 
1  f  j2f 5 5 
We have y ( t ) ⎯⎯
→– X .e
2  2  
10. x ( ) =  x ( t ) .e
–jwt
.dt
7. Time-scaling property –

1
1 f 1
x ( at ) ⎯⎯→
FT
X
a  a 
= e
–jwt
.dt =
jw
(
e jw – e–jw )
–1

f X ( ) = 0
or, a x ( at ) ⎯⎯→ X   ...(A)
FT
 a
 ejw – 1jw = 0
and frequency-shifting property e

e2 jw –1 = 0
x ( t ) ⎯⎯→ X ( f + f0 ) ...(B)
–j2f0t FT
e
e2 jw = 1
Using equation (B) in eq. (A), we get
e jw = 1
1 
x ( at ) ⎯⎯
→ X  ( f + af0 ) 
–j2f0at
ae
a  For this equality to hold, w = ,2

11. Given: h (t) = e-2t u(t)


Putting a = 1 and f0 = 2, we get
3 
H ( ) =  h ( t ) .e
–jt
dt
1  t  –j4 t 3
→ X (3f + 2 )
–
x e ⎯⎯
3  3   
– ( 2 + j )
=  e–2 t .e–jt = e dt
8. Taking Fourier transform, 0 0

Y ( ) = 0.5 e ( d )  ( ) + 0.5 e d X ( )
j –t + T –t j 1
1 – 2 + j) t 
H ( ) = − .e ( =
2 + j 0 2 + j
+ 0.5 X ( ) e(
–td – T )
j
12. Given: ( x ) ( t ) = 2 cos (2t ) .
By time shifting property,
X ( ) = 2  (  – 2) +  (  + 2)
Y ( )
= H ( ) = (1 + cos t ) e –jtd

X ( ) 1
H ( ) = .

2 + j
9. X ( ) =  x (5t – 3) .e
–jt
.dt
–
Output, Y ( ) = H ( ) . Y ( )

Let, 5t –3 = p 1
= .2  (  –2) +  (  + 2)
2 + j
or, dp = 5dt
2 2
 –
j (p + 3) 
Y ( ) = . (  – 2 ) + . (  + 2 )
1 5 2+ j2
2 – j2
 X ( ) = x (p ) .e
5 –
.dp
= 2 (2 – j2 ) +  (  + 2) + (2 + j2 ) . (  + 2)
8 

36
www.gradeup.co

Y ( ) =

 (  – 2 ) +  (  + 2 )  ( )
17. G jw = w.e
–22

2


–j
2
  (  – 2 ) –  (  + 2 )   g ( t ) dt = G ( j0) = 0
–8

cos 2t sin2t 1 y ( t ) = g ( t ) *u ( t )
y (t) = + = cos (2t – 0.25 )
2 2 2
1 
Y ( j) = G ( j) U ( j) = G ( j)  +  ( ) 
= 2–0.5.cos (2t – 0.2) .  j 
13. System is LTI and BIBO system.
.e–22
= + .e–22  ( )
14. j

 1
  y ( t ) dt = Y ( j0 ) = =– j
– j

 sin ( t / 5)   
18. x ( t ) = 2  sin  t – 
 t / 5   4
 
 sin2 
2 cos    → h(t) = h'(t − 1) + h'(t + 1)
   we can write above expression as

 sin2   sin ( t / 5)     
e j + e− j   x (t) = – 2  cos sin t – sin cos t 
  
  t / 5   4 4 
 

 sin ( t / 5)  sin ( t / 5)
= cos t – sin ( t )
 t / 5  ( t / 5)
 

Also
2 2
15. g (t) = e– t , g (f) = e– f , x ( t ) = xc ( t ) cos 2fc t – x s ( t ) sin (2fc t )
h (f) = e– f ,
2

[Low pass representation of Bandpass


– f 2 – f 2 –2 f 2
y(f)= g(f) h(f) = e e = e signals]
16. Shifting in time domain does not change
sin ( t / 5 )
PSD. xc ( t ) =
t / 5
Since PSD is Fourier transform of
sin ( t / 5)
autocorrelation function of WSS process, xs ( t ) =
t / 5
autocorrelation function depends on time
xce ( t ) is the complex envelope of x(t)
difference.
X ( t )  R x ( z )  Sx ( f ) xce ( t ) = xc ( t ) + jxs ( t )
1 f
Y ( t ) = X (2t – 1)  R y (2 )  S 2 sin ( t / 5) 2 sin ( t / 5)
2 x   = 1 + j = e j /4
t / 5 ( t / 5)
[time scaling property of Fourier
transform]

37
www.gradeup.co

19. Here the convolution of two sinc pulses is 21. Let x(t) Fourier transform be x(t)
sinc pulse.

y ( t ) = x ( t ) h ( t ) ( convoluton)
*

 Y ( f ) = X ( f ) .H ( f )

 Y ( f ) = e–j4f .X ( f )
So, x1 ( t ) = sin t
t  Y ( t ) = x ( t – 2)
Now x ( t ) = x1 ( t ) * x1 ( t )
22. Probability of error
X ( ) = X1 ( ) . X1 ( ) = X1 ( )
Pe = P3 + 3P2 (1–P )
sin t
 x ( t ) = x1 ( t ) = P = 0.1
t
Pe = ( 0.1) + 3  ( 0.1) (1 – 0.1)
3 2

So, the value of x(t) is sin t


t
= 0.001 + 3  0.01  0.9
20. Assume, f(t) = sinc (st) = Sa (5t ) .
= 0.001 + 0.027
=0.028

23. Let x ( t ) = sin at ⎯⎯⎯


F.T.
→ X ( )
t

sin ( 4t ) 1 sin ( 4t )


x (t) = = 
4 4 t

 T T
→ 2 GT ( ) ; = 5
 T.Sa  t.  ⎯⎯
 2 2

10p.S (5t ) ⎯⎯


→ 2G10( )
w

ESDF = F ( )
2

 
1
f 2 ( t ) dt
 2 –
Ef = Energy of x(t) = 1  ()2 d
–

1 
2 –
= ESDf .d


=  sinc2 ( st ) dt
–

2
1  1
=  .d
2 –  5 
  4 2
1 1 1 1
2 –4  4 
= d =   8
1 1 1 2 10
=   10 = .
2 25 5
= 0.25.

38
www.gradeup.co

24. x ( t ) = 4 cos 200t + 8 cos 400t    2

 y (t)  x (t)  X (f )
2 2
So, dt = dt = df
Taking fourier transform of h(t) we get – – –

2 sin300t x ( t ) = 4 sin c(2t)


h (t) = F.T.
⎯⎯⎯ →
t
sinc ( t ) ⎯⎯⎯
CTFT
→ rect ( f )

4 f f
4 sin (2t ) ⎯⎯⎯
CTFT
→ rect   = rect  
2 2
  2

 X (f ) df = 2  (2 ) = 8
2 2

–

 y (f )
2
So, df = 8
So, y ( t ) = 8 cos 200 t –

27. Here, fs 1500 samples/sec, fm = 1 KHz, 0.5


Hence y ( t ) =8
max KHz,

25. Given : The sampled frequency are 2.5 KHz, 0.5


KHz
 f, f  20
 Since LPF has cut-off frequency 800 Hz,
H ( f ) = 1 – 20 : H ( f ) = –2f
 f  20 then only output signal of frequency 0.5
 0,
KHz would pass through it.

 (–1)  ( t – 0.5  10 k )
k –4
28. Given, g(t) =
k =– 

Fourier series expansion of g(t),


a0 
g (t) = +  ( a cos 2nf0 t + bn sin2nf0t )
2 n=1 n

where f0 = 20  103 Hz, T0 = 0.5  10–4 T


1  
 y ( t ) =  8 cos  20t + 
2  4 as g (t) is even function of time, then

= 4 cos(20pt + ) bn = 0
T0 T0
2
 4  2 2 4 2
  T0  
Power of y(t) =   = 8. a0 =  g ( t ) dt –  g ( t ) – g  t –   dt
T –T0 Ts   2 
 2 2
0

26. Hilbert transform does not aster the T0 T0


4 2
4 2
 T  4 4
amplitude spectrum of the signal. =  g ( t ) .dt –  g  t – 0  dt = – =0
Ts 0 Ts 0  2  T0 T0

 
  g ( t ) dt = 1
–  
T0
2
4 2n
an =
T0 
0
g(t) cos
T0
 tdt

39
www.gradeup.co

Ts
29.
4 2
 T  2n

T 
0
g  t − 0  cos
 2  T0
 tdt

[g(t)x(t) = x(0)g(t)]

T2 T
2 2 T 
4 4 
 g(t)dt − cosn  g  t − 0  dt
T0 0
T0 0  2 

4 4
= − cos n
T0 T0

8
g(t) = cos(40  103 t) +
T0

8
T0
(
cos 60  103 t + ... )
Frequency spectrum of M(f) =
= 9.33

30. For linear phase channel,


Q ( ) = – t0
Frequency spectrum of G(f)
– ( )
Tp (phase delay ) = = t0

–d ( )
and Tg (group delay) = = t0
d

Hence Tp = Tg = t0 = constant

As m(t)g(t) = M(f)  G(f) 1


31. H ( f ) = H (0) = 1
Multiple in time domain is equivalent to
j2R f C + 1'

convolution in frequency domain H ( f1 )


Now, as  0.95
H (0)

1
then,  0.95
4 R2 f12C 2 + 1
2

or 42 R 2 f12 c2  0.108


When the resulting signal passes through
0.108
LPF having BW of 1 KHz, then only or ( f1 )max =
2RC
message signal m(t) produces at the
0.108
= = 52.2Hz.
output. 2  3.14  10–3

40
www.gradeup.co

1 d ( f ) = 0.717 ms.
32. Group Delay t g ( f ) = –
2 2 df 33. Phase response of pass band waveform
 ( f ) = – 2 ( f – fc ) – 2fc
()
here,  f = – tan
–1
(2RCf )
RC –d ( f )
then, tg ( f ) = Group delay t y = =
1 + 42R2C2 f 2 2 df

1  103  10–6 Thus '  ' is actual signal propagation delay


tg ( t ) |f = f2 =
( )  (10 )  (100)
2 2 2
2 3 –6
1 + 4 10 from transmitter to receiver.

❖❖❖❖

41
www.gradeup.co

42
5
www.gradeup.co

Laplace Transform

Analysis of Previous GATE Papers

2017 Set 1

2017 Set 2

2016 Set 1

2016 Set 2

2016 Set 3

2015 Set 1

2015 Set 2

2015 Set 3
2020

2019

2018
Year →
Topic
Topic ↓

MCQ Type
1 Mark
Numerical Type
Bilateral Laplace
MCQ Type
Transform 2 Marks
Numerical Type 1

Total 2

MCQ Type 1
1 Mark
Numerical Type

Unilateral Laplace Transform MCQ Type 1 1


2 Marks
Numerical Type

Total 1 2 2

MCQ Type 1
1 Mark
Numerical Type

Poles & zeros MCQ Type


2 Marks
Numerical Type

Total 1

43
www.gradeup.co

BILATERAL LAPLACE TRANSFORM A. 1 e3tu (–t ) + 1 e–2tu (–t )


5 5
1. The Laplace transform of i(t) is given by
B. – 1 e3tu (–t ) + 1 e–2tu (–t )
2 5 5
I (s) =
s (1 + s )
C. 1 e3tu (–t ) – 1 e–2tu ( t )
5 5
As t → , the value of i(t) tends to

A. 0 B. 1 D. – 1 e3tu (–t ) – 1 e–2tu ( t )


5 5
C. 2 D.  [2015: 2 Marks, Set-2]
[2003: 1 Mark] 6. Consider the differential equation
2. Consider the function f(t) having Laplace dx
= 10 – 0.2x with initial conduction
transform dt

0 x(0) = 1. The response x(t) for t > 0 is


F (s) = Re s  0
2
s + 2
0 A. 2 – e–0.2t B. 2 – e–0.2t
The final value of f(t) would be: C. 50 – 49e–0.2t D. 50 – 49e0.2t
A. 0 B. 1
[2015: 2 Marks, Set-2]
C. –1  f (  )  1 D. 
7. The bilateral Laplace transform of a
[2006: 2 Marks] 1, If a  t  b
function f ( t ) =  is
3. If the Laplace transform of a signal y(t) is  0 otherwise

1 ez ( a – b )
Y (s) = , then its final value is A. a – b B.
s ( s – 1) s s

A. –1 B. 0
e (
– a–b )
e–as – e–bs
C. D.
C. 1 D. unbounded s s

[2007: 1 Mark] [2015: 1 Mark, Set-2]

2 ( s + 1) 8. Let the signal i(t) = 0 outside the interval


4. If F(s) = L f ( t )  = then the initial
s2 + 4s + 7 [T1, T2 ], where T1 and T2 are finite.
and final values of f(t) are respectively
Furthermore, f ( t )  . The region of
A. 0,2 B. 2,0
2 2 convergence (ROC) of the signal’s bilateral
C. 0, D. ,0
7 7 Laplace transform F(s) is
[2011: 2 Marks] A. a parallel strip containing the j axis
5. Input x(t) and output y(t) of an LTI system B. a parallel strip not containing the j axis
are related by the differential equation C. the entire s-plane
y " ( t ) – y ' ( t ) – 6y ( t ) = x ( t ) . If the system is D. a half plane containing the j axis
neither causal nor stable, the impulse [2015: 1 Mark, Set-2]
response h(t) of the system is

44
www.gradeup.co

9. Let x(t) = s ( t ) +  s (–t ) with

s ( t ) = e–4tu ( t ) ,
C.
where u(t) is unit step function. If the
bilateral Laplace transform of x(t) is

16
X (s) = – 4  Re s  4;
2
s – 16
then the value of  is ____.
[2015: 2 Marks, Set-2]
10. The transfer function of a causal LTI D.

system is H ( s ) = 1 . If the input to the


s

 sin ( t ) 
system is x ( t ) =   u ( t ) , where u(t) is [2000: 2 Marks]
 t 
12. Convolution of x(t + 5) with impulse
a unit step function, the system output y(t) function  ( t – 7 ) is equal to
as f →  is _______. A. x(t – 12) B. x(t + 12)
[2017: 2 Marks, Set-2] C. x(t – 2) D. x(t + 2)
[2002: 1 Marks]
UNILATEAL LAPLACE TRANSFORM
13. The input –3e u(t), where u(t) is the unit
–2t

11. Let u(t) be the step function. Which of the step function, is applied to a system with

waveforms in the figure corresponds to the transfer function s – 2 . If the initial value
s+3
convolution of u(t) – u (t – 1) with u(t) – u
of the output is –2, then the value of the
(t – 2)?
output at steady state is____.
[2014: 1 Mark, Set-3]
14. A first-order low-pass filter of time
constant T is excited with different input
A. signals (with zero initial conditions up to t
= 0). Match the excitation signals X, V, Z
with the corresponding time responses for
t  0:

List-I List-II
X. Impulse P. 1– e–t/T
B.
Y. Unit step (
Q. t – T 1 – e
–t /T
)
Z. Ramp R. e–t / T

45
www.gradeup.co

A. X – R; Y – Q; Z – P
B. X – Q; Y– P; Z – R
(
A. t *e
2
) u (t )
–2t
(
B. t *e ) u (t )
2 2t

C. ( te t ) u ( t ) D. ( te ) u ( t )
–2 –2t
C. X – R; Y – P; Z – Q
D. X – P; Y– R; Z – Q (* denotes convolution, and u(t) is unit
15. The 3-dB band width of the low-pass signal step function)
e u(t), where u(t) is the unit step function,
–t
[2001: 1 Mark]
is given by 19. The Laplace transform of a continuous-
5–s
A. 1 Hz B. 1 2 – 1 Hz time signal x(t)is X ( s ) = . If the
2 2 s2 – s – 2

C.  D. 1 Hz Fourier transform of this signal exists, then

[2007: 2 Marks] x(t) is

A. e u ( t ) –2e u{t)
2t –t
16. Given that

s2 + 1 B. –e u (–t ) + 2e u(t)
s+2 2t –t
L f ( t )  = ,L g(t) = .
s2 + 1 ( s + 3) ( s + 2 )
C. –e u (–t ) – 2e u(t)
2t –t
t
h ( t ) =  f (  ) g ( t –  ) d
D. e u (–t ) – 2e u(t)
2t –t
0

L[h(t) ] is [2002: 2 Marks]


20. Given that F (s) is the one-sided Laplace
s2 − 1
A. transform of f(t), the Laplace transform of
s+3
t

B. 1  f (  ) d is
s+3 0

s2 + 1 s+2 A. sF (s) – f(0) B. 1 F ( s )


C. + s
( s + 3) ( s + 2) s2 + 1
s
D. None of the above C.  F (  ) d D. 1 F ( s ) – f (0 )
0
s
[2000: 1 Mark]
[2009: 2 Marks]
17. A linear time invariant system has an
21. A continuous time LTI system is described
impulse response e2t, fort >0. If initial
by
conditions are 0 and the input is e3t, the
d2y ( t ) dy ( t ) dx ( t )
output for t > 0 is +4 + 3y ( t ) = 2 + 4x ( t )
2 dt dt
dt
A. e3t – e2t B. e5t Assuming zero initial conditions, the
C. e 3t
+e 2t
D. None of these response y(t) of the above system for the
[2000: 2 Marks] input x(t) = e–2tu(t) is given by

18. The transfer function of a system is given (


A. e – e
t
) u (t)
3t
(
B. e
–t
– e–3t u ( t ))
1
C. ( e + e ) u (t) D. ( e )
by H ( s ) = . The impulse response
s2 ( s – 2 )
–t –3t t
+ e3t u ( t )

of the system is [2002: 2 Marks]

46
www.gradeup.co

22. The unilateral Laplace transform of f(t) is Assuming that y(0) = 0 and dy = 0 at
dt
1
. The unilateral Laplace transform
s2 + s + 1 t = 0, the Laplace transform of y(t) is

of tf(t) is e–2s 1 – e–2s


A. B.
s ( s + 2 ) ( s + 3) s ( s + 2 ) ( s + 3)
s 2s + 1
A. – B. –
(s 2
+ s +1 ) (s2
+ s +1 )
2
C. e–2s
D. 1 – e–2s
( s + 2 ) ( s + 3) ( s + 2 ) ( s + 3)
s 2s + 1
C. D. [2013: 2 Marks]
( ) ( )
2 2
s2 + s + 1 s2 + s + 1
26. Let h(t) denote the impulse response of a

[2012: 1 Mark] causal system with transfer function 1


.
s +1
23. The impulse response of a system is
h(t) = tu(t). Consider the following three statements:

For an input u(t – 1),the output is S1 : The system is stable.

t ( t – 1) h ( t + 1)
t2 independent of t for t >0.
A. u (t) B. u ( t – 1) S2 :
h (t)
2 2

t2 – 1 S3: A non-causal system with the same


( t – 1)2 u u ( t – 1)
C. ( t – 1) D.
2
2 transfer function is stable.
[2013: 1 Mark] For the above system.
24. Assuming zero initial condition, the A. only S1 and S2 are true.
response y(t) of the system given below to B. only S2 and S3 are true.
a unit step input u(t) is C. only S1 and S3 are true.

D. S1, S2 and S3 are true.

[2014: 2 Marks, Set-3]

27. The unilateral Laplace transform of


A. u ( t ) B. tu(t)
1
f ( t ) is . Which one of the following
2
t2 s + s+1
u (t) D. e u ( t )
–t
C.
2 is the unilateral Laplace transform of g(t)
[2013: 1 Mark] = t.f(t)?
25. A system is described by the differential
–s – (2s + 1)
A. B.
(s ) (s )
2 2 2
d y dy 2
+ 6y ( t ) = x(t). Let x(t)
2
equation +5 + s +1 + s +1
dt2 dt

be a rectangular pulse given by s 2s + 1


C. D.
(s ) (s )
2 2
2 2
1, 0  t  2 + s +1 + s +1
x (t) = 
0, otherwise.
[2014: 2 Marks, Set-4]

47
www.gradeup.co

28. A stable linear time invariant (LTI) system


1
has a transfer function H(s) = . To
2
s + s–6
make this system causal it needs to be
cascaded with another LTI system having a
transfer function H1(s). A correct choice for
H1(s) among the following options is 1
A. F ( s ) =
A. s + 3 B. s – 2 1 + e–sT /2
C. s – 6 D. s + 1 1
B. F ( s ) =
[2014: 2 Marks, Set-4] (1 + e –sT /2
)
29. A causal LTI system has zero initial
1
C. F ( s ) =
conditions and impulse response h(t).Its
(1 – e –sT /2
)
input y(t) and output x(t) are related
1
through the linear constant-coefficient D. F ( s ) =
1 – e–sT
differential equation
[2016: 2 Marks, Set-1]
d2y ( t ) dy ( t )
+ +  y (t) = x (t) .
2
 2 
dt2 dt 32. A signal 2cos  t  – cos ( t ) is the input to
 3 
Let another signal g(t) be defined as
an LTI system with the transfer function
1
dh ( t )
g ( t ) = 2  h (  ) d + h ( t ) . H(s) =es + e–s
dt
0 If Ck denote the kth coefficient in the
If G (s) the Laplace transform of g(t), then exponential Fourier series of the output
the number of poles of G(s) is ____. signal, then C3 is equal to
[2016: 2 Marks, Set-4] A. 0 B. 1
30. A system is described by the following C. 2 D. 3

differential equation, where u(t) is the [2016: 2 Marks, Set-3]

input to the system and y(t) is the output 33. Let Y(s) be the unit-step response of a

of the system. causal system having a transfer function

y ( t ) + 5y(t) = u(t)
3–s
G (s) =
( s + 1) ( s + 3)
When y(0) = 1 and u(t) is a unit step
function. Y(t) is That is, Y (s) = G(s) . The forced response
s
A. 0.2 + 0.8e–5t B. 0.2 –0.2e–5t of the system is
–5t
C. 0.8 + 0.2e D. 0.8 – 0.8e–5t A. u(t) – 2e–tu(t) + e–3tu(t)
[2014: 2 Marks, Set-1] B. 2u(t)
31. The Laplace transform of the causal C. u(t)

D. 2u ( t ) – 2e u ( t ) + e u ( t )
periodic square wave of period T shown in –t –3t

the figure below is [2019: 1 Mark]

48
www.gradeup.co

49
www.gradeup.co

POLES AND ZEROS II. There is no causal and BIBO stable


system with a pole in the right half of the
34. Consider the following statements for
complex plane.
continuous-time linear time invariant (LTI)
Which on among the following is correct?
systems. A. Both I and II are true.
I. There is no bounded input bounded B. Both I and II are not true.

output (BIBO) stable with a pole in the C. Only I is true.


D. Only II is true.
right half of the complex plane.
[2017: 1 Mark, Set-1]

ANSWER

1. C 2. C 3. D 4. B 5. B 6. C 7. C 8. C 9. –2 10. ½

11. B 12. C 13. 0 14. C 15. A 16. B 17. A 18. B 19. C 20. B

21. B 22. D 23. C 24. B 25. B 26. A 27. C 28. B 29. 1 30. A

31. B 32. B 33. A 34. D

SOLUTION

1. From final value theorem, 1 −1 1


3. Given, Y(s) = = +
s(s − 1) s s −1
2
Lt i(t) = Lt sI(s) = Lt =2
s →0 (1 + s)
Y(t) = −1 + et
t → s ' →

2. f(t) = L−1f(x)

= sin 0 t

As, −1  sin   1

Thus, −1  f()  1

The graph show as t → , y(t) → .

4. All poles of F(s) lie in left half of s-plane.

2(s + 1)
F(s) = L f(t) =
2
s + 4s + 7

50
www.gradeup.co

Initial value, 1 1 1 
H(s) = −
2(s + 1)

5  s − 3 s + 2 
lim f(t) = lim sF(s) = lim s. .
2
t → s → s → s + 4s + 7 1  3t
= −e u(−t) + e−2tu(−t)
Initial value, 5 

−1 −3t 1
2(s + 1) = e u ( −t ) + e−2tu ( −t )
lim f(t) = lim sF(s) = lim s. 5 5
t →0 s → s → s2 + 4s + 7
So option (B) is correct.
 1
2
2s 1 + 
 s 6. Given D.E dx = 10 − 0.2x
= lim s. dt
s →  4   7  
s2 +   +  2   x(0) = 1
 5   s  
dx
2(1 + 0)  + (0.2)x = 10
= = 2. dt
1+0+0
Auxiliary equation is m + 0.2 = 0
Final value, lim f(t) = lim sF(s)
t → s →0
m = –0.2
s  (s + 1) Complementary solution
= lim sF(s) =0
2
s →0 s + 4s + 7
xc = C e(−0.2)t
5. The given differential equation is,
y "(t) − y '(t) − 6y(t) = x(t) 1 10e0t
xP = 10 et =
D + (0.2) 0.2
On applying Laplace transform on both
sides, = 50e0t = 50

s2y(s) − sy(0) − y(0) − [sy(s) − y(0)] − 6y(s) = x(s) x = xc + xp

To calculate the transfer function all initial = C e(−0.2)t + 50


conditions are taken as ‘0’.
Given, x(0) = 1
2
 (s − s − 6)y(s) = x(s) C + 50 = 1

1 1 C = –49
H(s) = =
(s2 − s − 6) (s − 3)(s + 2)
x = 50 − 49 e(−0.2)t
1 1 2 
= − 1 at t

5  s − 3 s + 2  7. Given f(t) =
0 otherwise
It is given that h(t) is non-casual and un-

stable. To satisfy both the conditions ROC L f(t) = 0 e
−st
f(t) dt
should be left of the left most pole.  −st  
= 0 e f(t) +  e−st f(t)dt +  e−st f(t)dt
Using the following standard pair a b

1 b
 e−atu(−t);   −a b −st e−st
s+a =0+ e dt +0 =
a −s
1 a
 −eatu(−t);   a
s−a
−1  −bs e−as − e−bs
= e − e−as  =
s   s

51
www.gradeup.co

8. For a finite duration time domain signal, and Lf2 (t) = F2 (s) = L[U(t) − U(t − 2)]
ROC is entire s-plane. 1
= (1 − e−2s )
−4t s
9. x(t) = s(t) + s(−t) & s(t) = e u(t)
As convolution in time-domain is
x(t) = e−4tu(t) + e4tu(−t) multiplication in s-domain i.e.
L  f1(t)  f2 (t) = F1(1)F2 (1)
e−4tu(t) ⎯⎯⎯

s+4
1 −3s
L  Then, F(S) = 2 (1 − e + e−s + e−2s )
e4tu(−t) ⎯⎯⎯
→ s
s−4

 
Inverse laplace transform gives,
 X(s) = −
s+4 s−4
f(t) = L−1F(s)
 (s − 4) − (s + 4)  16
 = 2 ; − 4    +4 = t − tu(t − 2) − tu(t − 1) + tu(t − 3)
2
 s − 16  s − 16
= t − t [u(t − 1) − u(t − 2) + tu(t − 3)]
On solving the numerator,  = –2
Which is depicted in figure of option (B).
10. Given: x(t) = sin t  u(t)
t 12. As, g(t) * (t − t0 ) = g(t0 )

Frequency Integration property: then x(t + 5) *  * (t − 7) = x(t − 2)


t
x(t) L.T. Y(s) S − 2
⎯⎯⎯ →  X1(u) du. 13. =
t − X(s) S + 3

L.T. 1  sY(s) + 3Y(s) = S × (s) – 2X(s)


x1(t) = sin t  u(t) ⎯⎯⎯
→ = X1(s)
s2 + 1 Due to initial condition, we can write above

t 1  −1
equation as
− u2 + 1 du = 2 − tan (s)
Sy(s) − y(0) + 3y(s) = sx(s) − x(0− ) − 2x(s)
1  
L x ( t )  = − tan−1(s) = X(s) y(0− ) = −2,
  2 

 Y(s) = H(s)  (s) =


1

1
tan−1(s)
x(0− ) = 0
2s s
x(t) = 3e2tu(t)
By using final value theorem  
lim y(t) = lim F(s)  −3 
t → s →0  Sy(s) + 2 + 3y(s) = (s − 2)  
s − 2
 1 tan−1(s)  1
= lim F(s),  − = . (s + 3)y(s) = −3 − 2
s →0  2 2  2
−5
11. Given, Laplace transform of  y(s) =
5+3
f1(t) = Lf1(t) = L[U(t) − U(t − 1)]
 y(t) = −5e−3tu(t)
1 e−s
F1(S) = − y() (steady state)
S s

52
www.gradeup.co

s−2 −t
H(s) = ; 15. f(t) = e u(t)
s+3
1
X(t) = −3e2t  u(t) F(s) = L[f(t)] = L e−tu(t) =
  s +1

−3 In frequency domain, s = j
 X(s) =
s−2
1 −1
−3 F(j) = =  tan−1 
 Y(s) = (1 + j) 1+  2
s+3

y(t) at  y() = lim S  y(s) For 3dB bandwidth,


t = s →0
1 1
−3s =
= lim 1+  2 2
s →0 s + 3
  = +1
y() = 0
 = 2fc
14. Transfer function

H(s) =
1  fc = 1 Hz
1 + s 2

 V0 (s) = H(s)  VI (s)


(a) if Vi (t) = (t)

V1(s) = 1

1
V0 (s) = H(s)  V1(s) =
1 + s
t
1 −
0 (t) = e (impulse)

(s2 + 1)
(b) if vi(t) = u(t) 16. H(s) = F(s)G(s) = (s + 2) 
2 (s + 3)(s + 2)
(s + 1)
1
VI (s) =
s 1
=
(s + 3)
1 1 1
V0 (s) = = −
s(1 + s) s 1 1 1
s+ 17. H(s) = , R(s) =
 (s − 2) (s − 3)

(
0(t) = 1 − e−t /  ) (Unit step)
Output =
1 1
=
1

1
(s − 2) (s − 3) (s − 3) (s − 2)
(c) if vi(t) = r(t)
c(t) = e3t − e2t
1
VI (s) =
s2 18. The impulse response,
V0 (s) = H ( s )  VI ( s ) C(s) = H(s)R(s)

1 1  t  C(s) = H(s)
= = − +
2
s (1 + s) 2
s s 1 For impulse signal
s+

L[(t) = 1.
0 (t) = t −  1 − e ( −t / 
) (Ramp)

53
www.gradeup.co

1 1  21. Zero initial conditions: y(t) = ? for


c (t) = L
−1
H ( s )  = L−1   
 s ( s − 2 ) 
2
x(t) = e−2tu(t)
f1(t) = L−1 F1(s) = tu ( t ) Given equation is
y ''(t) + 4y '(t) + 3y(t) = 2x '(t) + 4x(t)
f2(t) = L−1 F2(s) = e2tu(t)
Taking Laplace
then e(t) = f1(t) * f2 (t)
s2 Y(s) + 4sY(s) + 3Y(s) = 2sX(s) + 4X(s)
= [e2t * t]u(t) Y(s) 2s + 4
 = … (i)
2
X(s) s + 4s + 3
5−s A B
19. X(s) = = +
(s + 1)(s − 2) (s + 1) s − 2 1
Given: X(s) =
(s + 2)
5−s
A = = −2.
s − 2 s = −1 (2s + 4) 2
 Y(s) = =
2
(s + 4s + 3)(s + 2) (s + 1)(s + 3)
5−s
and B = =1
s + 1 s =2 Taking inverse laplace transform

 1 1 
 −2 1   Y(s) =  − 
then, x(t) = L−1X(s) = L−1  + s + 1 s + 3
 s + 1 s − 2 
−t
 y(t) = e − e (
−3t
u (t) )
22. If f(t)  F(s), then tf(t)  − d F(s)
ds

1
Thus if F(s) = 2
s + s +1
d  1  2s + 1
tf(t) → −  =
ds  s2 + s + 1  s2 + s + 1

23. As h(t) = ta(f)


input response

Given, ROC: Re(s) < Re(a)


(t) → ta(t)
1
 eatu ( −t ) t t
s−a t2
u(t) →  +(I)dt =  tdt =
2
a(t)
− 0
x(t) to be Fourier transformable
(t − 1)2
x(t) = −2e−tu(t) − e2tu(−t) u(t − 1) → a(t − 1)
2
t
1 24. integration of unit step function is ramp
20.  f()d = s f(s) ….
output
0

(Laplace formulae) Writing in time domain


y(t) = u(t) × u(t) = tu(t)

54
www.gradeup.co

25. Since n(t) can be written in function of t 1


28. Given, H(s) = 2
using step function x(t) = 4(t) = 4(t – L) s +s−6

We need to x(s) laplace transform x(t) 1


=
(s + 3)(s − 2)
1 1 −2s
x(s) = − e
s s It is given that system is stable thus its

 d2y(t)  ROC includes j axis. This implies it


 L   = s2 Y(s)
d(t)  cannot be causal, because for causal
 
system ROC is right side of the rightmost
 d2y(t) dy 
L  +s + 6y  = x pole.
 dt dt 
 Poles at s = 2 must be removes so that
2
 s Y(s) + sY(s) + 6Y(s) = X(s) it can be become causal and stable
simultaneously.
1 − e−2s
X(s)
 Y(s) = 2 =
s + 5s + 6 s(s + 2)(s + 3) 1 1
 (s − 2) =
(s + 3)(s − 2) s+3
1
26. h(t)  H(s) =
s +1 Thus H1(s) = s − 2

29. Given differential equation


 h(t) = e−tu(t)

S1: System is stable (TRUE)


s2y(s) + sy(s) + a2y(s) = x(s)

Because h(t) absolutely integrable. y(s) 1


 = = H(s)
2
x(s) s + s + 2
h(t + 1)
S2: is independent of time (TRUE) t
h(t) d
g(t) = 2  h(z)dz + h(t) + h(t)
0
dt
e−(t +1)
 e−1 (independent of time).
e− t = 2
H(s)
+ sH(s) + H(s)
s
S3: A non-causal system with same
1 1
transfer function is stable. = 2 +s
2 2
s(s + s +  ) (s + 2s + 2 )
2

1
 −e−tu(−t) (a non-causal system) 
s +1 +
2
s + s + 2
but this is not absolutely integrable thus
2 + s + s2 1
unstable. Only S1 and S2 are TRUE. = =
2 2 s
s(s + s +  )
27. If f ( t )  F ( s ) , then tf ( t )  − d F ( s )
ds 30. dy + 5y(t) = u(t)
dt
1
Thus if F ( s ) = 2 y(0) = 1
s + s +1
1
sY(s) − y(0) + 5Y(s) =
d  1  2s + 1 s
tf ( t ) → −  2 = 2
ds  s + s + 1  s + s + 1 1
5Y(s) − 1 + sY(s) =
s

55
www.gradeup.co

1  y(t) = 2 cos(t + 180)


Y(s)[s + 5] =  + 1
s 
 2 
y(t) = 2 cos  t +   − 2 cos(t + )
s +1  3 
Y(s) =
s(s + 5)
2
1 = , 2 = 
1 4 3
Y(s) = +
5s 5 ( s + 5) T1 = 3, T2 = 2, T0 = 6

Applying inverse laplace transform 2 


0 = =
1 4 T0 3
y ( t ) = u ( t ) + e−5tu ( t )
5 5
y ( t ) = 2 cos(20 t + ) − 2 cos(30t + )

(
y ( t ) = 0.2 + 0.8e −5t
) y (t) = e
j(20t +)
+e
− j(20t +)

31. Laplace transform of one cycle j(30t + ) − j(30t + )


−e −e
of
y ( t ) = −e
j(20t) − j(20t) j(30t) − j(20t)
−e +e +e
Laplace transition of causal periodic
C3 = 1
square wave given in f(t) is,
Thus the value of C3 is 1.
33. Force response is the response due to
external input signal.
G(s) 3−s
Y(s) = =
s s ( s + 1) ( s + 3)

By partial fraction, = 1 + −2 + 1
s s +1 s+3
32. The transfer function
Taking inverse laplace transform.
H e ( )=e
j j
+e− j
= 2 cos 
 y ( t ) = u ( t ) − 2e−tu ( t ) + e−3tu ( t )

34. A BIBO stable system can have poles in


right half of complex plane, if it is a non-
 2  causal system. So, statement-I is wrong.
Here x(t) = 2 cos  t + 180 
 3 
A causal and BIBO stable system should
x ( t ) = cos t
have all poles in the left half of complex
0 =  plane. So, statement-II is correct.
H(j0 ) = 2 cos() = −2  option (D) is correct.

❖❖❖❖

56
www.gradeup.co

57
6
www.gradeup.co

Z-Transform

Analysis of Previous GATE Papers

2017 Set 1

2017 Set 2

2016 Set 1

2016 Set 2

2016 Set 3

2015 Set 1

2015 Set 2

2015 Set 3
2020

2019

2018
Year →
Topic
Topic ↓

MCQ Type 1 1 1 1
1 Mark
Numerical Type 1
Z-Transform of
MCQ Type 1 1 1
Discrete Signals 2 Marks
Numerical Type 2
Total 3 1 1 3 2 1 2
MCQ Type
1 Mark
Numerical Type
Interconnection MCQ Type 1 1
2 Marks
Numerical Type
Total 2 2
MCQ Type 1
1 Mark
Numerical Type
Digital Filter Design MCQ Type 1 1
2 Marks
Numerical Type 1
Total 4 1 2 1

58
www.gradeup.co

Z-transform of discrete signals 5. The casual LTI system is described by the


difference equation
1. The region of convergence of the z-
2y[n] = y[n − 2] − 2x | n | −x[n − 1].
transform of a unit step function is
A. z  1 The system is stable only if

B. z  1 A. |  |= 2, |  | 2

C. (Real part of z) > 0 B. |  | 2, |  | 2


D. (Real part of z) < 0
C. |  | 2, any value of 
[2000: 1 Mark]
D. |  | 2, any value of 
2. If the impulse response of a discrete-time
system is h[n] = –5nu[–n – 1], then the [2004: 2 Marks]
system function H(z) is equal to 6. The region of convergence of z-transform
−z
A. and the system is stable of the sequence
z −5
n n
B. z
and the system is stable 5 6
z −5  6  u(n) −  5  u(−n − 1) must be
   
−z
C. and the system is unstable
z −5 A. z  5 B. z  5
6 6
z
D. and the system is unstable
z −5 C. 5  z  6 D. 6  z  
6 5 5
[2002: 2 Marks]
3. A sequence x(n) with the z-transform [2005: 1 Marks]
7. The region of convergence of x1[n] + x2[n]
X(z) = z4 + z2 − 2z + 2 − 3z−4 is applied as
1 2
an input to a linear, time-invariant system is  z  , then the region of
3 3
with the impulse response h(n) = 2(n − 3)
convergence of x1[n] − x2[n] includes
where
1, n = 0 A. 1  z  3 B. 2  z  3
2 =  3 3
0, otherwise
3
The output at n = 4 is C.  z 3 D. 1  z  2
2 3 3
A. –6 B. zero
[2006: 1 Marks]
C. 2 D. –4
[2003: 1 Mark] 8. The z=transform X[z] of a sequence x[n] is

4. The z-transform of a system is H(z) 0.5


given by X[z] = . It is given that
=
z
. If the ROC is |z| < 0.2, then the
1 − 2z−1
z − 0.2
the region of convergence of X[z] includes
impulse response of the system is
the unit circle. The value of x [0] is
n n
A. (0.2) u[n] B. (0.2) u[−n − 1]
A. –0.5 B. 0
n n
C. −(0.2) u[n] D. −(0.2) u[−n − 1] C. 0.25 D. 0.5

[2004: 1 Mark] [2007: 2 Marks]

59
www.gradeup.co

9. The ROC of z-transform of the discrete C. Both S1 and S3 are true


time sequence D. S1, S2 and S3 are all true
n n [2010: 2 Marks]
1 1
x(n) =   u(n) −   u(−n − 1) is
3
  2 n n
1 1
12. If x[n] =   −   u[n], then the region
A. 1  z  1 B. z 
1 3 2
3 2 2
of convergence (ROC) of its z-transform in
C. z  1 D. 2  z  3 the z-plane will be
3

[2009: 1 Marks] A. 1  z  3 B. 1  z  1
3 3 2
10. Consider the z-transform X(z) = 5z2 + 4z–1
1
+ 3; 0  z  . The inverse z-transform x C.  z 3 D. 1  z
2 3
[n] is [2012: 1 Mark]
A. 5 [n + 2] + 3 [n] + 4 [n − 1] 13. C is closed path in the z-plane given by
B. 5[n − 2] + 3 [n] + 4 [n + 1] z = 3. The value of the integral
C. 5u[n + 2] + 3u[n] + 4u[n − 1]
 z2 − z + 4j 
D. 5u[n − 2] + 3u[n] + 4u[n + 1]  c   dz is

 z + 2j 
[2010: 1 Mark] A. −4(1 + j2) B. 4(3 − j2)
11. The transfer function of a discrete time LTI
C. −4(3 + j2) D. 4(1 − j2)
system is given by
[2014: 1 Mark, Set-1]
3 −1
2− z
H(z) = 4 n n
 1  1
3 1 14. Let x[n] =  −  u(n) −  −  u(n)
1 − z −1 + z −2
4 8  9  3

Consider the following statements:  1


n
−  −  u(−n − 1).
S1: The system is stable and casual for  3
1
ROC: z  The Region of convergence (ROC) of the z-
2
transform of x[n]
S2: The system is stable but not causal for
1 A. is |z| > 1 B. is |z| < 1
ROC: z  9 3
4
S3: The system is neither stable nor causal C. is 1 | z | 1 D. does not exist
3 9
1 1
for ROC:  z  [2014: 2 Marks, Set-1]
4 2
Which one of the following statements is 15. Let x[n] = [−n]. Let X(z) be the z-transform

valid? of x[n]. If 0.5 + j0.25 is a zero of X(z),


A. Both S1 and S2 are true which one of the following must also be a
B. Both S2 and S3 are true zero of X(z).

60
www.gradeup.co

1 A. h[n] is real for all n


A. 0.5 – j0.25 B.
(0.5 + j0.25) B. h[n] is purely imaginary for all n

1 C. h[n] is real for only even n


C. D. 2 + j4
(0.5 − j0.25) D. h[n] is purely imaginary for only odd n.

[2014:1 Mark, Set-2] [2015: 2 Marks, Set-1]

16. The input-output relationship of a causal 19. Two causal discrete-time signals x[n] and
n
stable LTI system is given as y[n] are related as y[n] =  x[m]. If the
y[n] = y[n − 1] + x[n]. If the impulse m=0

2
response h[n] of this system satisfies the z-transform of y[n] is , the value
z(z − 1)2

condition  h[n] = 2, the relationship
of x[2] is ________.
n=0
[2015: 1 Marks, Set-2]
between  and  is
20. Suppose x[n] is an absolutely summable
 
A.  = 1 − B.  = 1 + discrete-time signal. Its z-transform is a
2 2
C.  = 2 D.  = −2 rational function with two poles and two
zeroes. The poles are at z = +2j. Which
[2014: 2 Marks, Set-2]
one of the following statements is TRUE for
17. The z-transform of the sequence x[n] is
the signal x[n]?
1
given by X(z) = , with the region A. It is a finite duration signal.
(1 − 2z−1 )2
B. It is a causal signal.
of convergence |z| > 2. Then x[2] is
C. It is a non-causal signal.
_______.
D. It is a periodic signal.
[2014: 2 Marks, Set-3]
[2015: 2 Marks, Set-3]
18. The pole-zero diagram of a causal and
21. Consider the sequence x[n] = anu[n] +
stable discrete-time system is shown in the
bnu[n], where u[n] denotes the unit-step
figure. The zero at the origin has
sequence and 0 | a|| b | 1. The region of
multiplicity 4. The impulse response of the
convergence (ROC) of the z-transform of
system is h[n]. If h[0] = 1, we can
x[n] is
conclude
A. |z| > |a| B. |z| > |b|
C. |z| < |a| D. |a| < |z| < |b|
[2016: 1 Mark, Set-1]
22. The ROC (region of convergence) of the z-
transform of a discrete-time signal is
represented by the shaded region in the z-
plane. If the signal x[n] = (2.0)|n|,
−  n  + then the ROC of its z-
transform is represented by

61
www.gradeup.co

has a total of four zeros, which one of the


following plots represents all the zeros
correct?
A.

A.

B.

B.
C.

D.

C.

[2016: 2 Marks, Set-3]


23. A discrete-time signal x[n] = [n – 3] +
2[n – 5] has z-transform X(z). If Y(z) =
X(–z) is the z-transform of another signal
y[n], then
A. y[n] = x[n] B. y[n] = x[–n]
C. y[n] = –x[n] D. y[n] = –x[–n] D.

[2016: 1 Marks, Set-3]


24. Let H(z) be the z-transform of a real-
valued discrete time signal h[n]. If P(z) =

1 1 1 [2019: 1 Mark]


H(z)H   has a zero z = + j, and P(z)
z 2 2

62
www.gradeup.co

25. Which one of the following pole-zero plots 26.The transfer function of a stable discrete
corresponds to the function of an LTI
time LTI system is , where
system characterized by the input-output
difference equation given below? K and α → real no. The value of α = ? with
|α| > 1, for which magnitude rest one of
the system to constant over all frequency.
[2020: 2 Marks]

INTERCONNECTION

A.
27. Two discrete time system with impulse
responses h1[n] = [n − 1] and

h2[n] = [n − 2] are connected in cascade.

The overall impulse response of the


cascaded system is
A. [n − 1] + [n − 2] B. [n − 4]

C. [n − 3] D. [n − 1][n − 2]


B. [2010: 1 Mark]
28. Two systems H1(z) and H2(z) are
connected in cascade as shown below. The
overall output y(n) is the same as the
input x(n) with a one-unit delay. The
transfer function of the second system
H2(z) is

C.

(1 − 0.6z−1 ) −1 −1
A. B. z (1 − 0.6z )
z−1(1 − 0.4z−1 ) (1 − 0.4z−1 )
−1 −1
(1 − 0.4z−1 )
C. z (1 − 0.4z ) D.
(1 − 0.6z−1 ) z−1(1 − 0.6z−1 )

[2011: 2 Marks]
29. Let H1(z) = (1 – pz ), H2(z) = (1 – qz–1)–1,
–1

D. H(z) – H1(z) + rH2(z). The quantities p, q, r


are real numbers. Consider
1 1
p− , q − , r  1. If the zero of H(z) lies
2 4
on the unit circle, the r = _________.
[2020: 1 Marks] [2014: 2 Marks, Set-3]

63
www.gradeup.co

30. For the discrete-time system shown in the D. Both S1 and S2 are true, but S2 is not a
figure, the poles of the system transfer reason for S1.

function are located at [2009: 2 Marks]


−1
33. For an all-pass system H(z) = (z − b) ,
−1
(1 − az )

− j
where H(e ) = 1, for all . If Re(a)  0,

Im(a)  0, then b equals

A. a B. a*

C. 1 D. 1
a* a
1
A. 2, 3 B. ,3
2 [2014: 1 Mark, Set-3]
34. Consider a four point moving average filter
C. 1 , 1 D. 2, 1
2 3 3 defined by the equation
[2015: 2 Marks, Set-1] 8
y[n] = i=0 ix[n − i]. The condition on the

DIGITAL FILTER DESIGN filter coefficients that results in a null at


zero frequency is
31. An FIR system is described by the system
A. 1 = 2 = 0; 0 = −3
function
B. 1 = 2 = 1; 0 = −3
7 3
H(z) = 1 + z −1 + z −2
2 z C. 0 = 3 = 0; 1 = 2

The system is D. 1 = 2 = 0; 0 = 3
A. maximum phase B. minimum phase [2015: 1 Mark, Set-3]
C. mixed phase D. zero phase 35. A discrete-time all-pass system has of its
[2008: 1 Mark] poles at 0.250° and 230°. Which one of
32. A system with transfer function H(z) has the following statements about the system
impulse response h(n) defined as h(2) = 1, is TRUE?

h(3) = –1 and h(k) = 0 otherwise. A. It has two more poles at 0.50° and

Consider the following statements. 40°.

S1: H(z) is a low-pass filter. B. It is stable only when the impulse


response is two-sided.
S2: H(z) is an FIR filter.
C. It has constant phase response over all
Which of the following is correct?
frequencies.
A. Only S2 is true.
D. It has constant phase response over the
B. Both S1 and S2 are false.
entire z-plane.
C. Both S1 and S2 are true, and S2 is a
[2018: 1 Mark]
reason for S1.

64
www.gradeup.co

36. The direct form strum of an FIR (finite h[0] = 1, h[1] = a, h[2] = b and h[n] = 0
impulse response) filter is shown in the for n < 0 or n > 2.
figure. What are the values of the filter taps a and
b if the output is y[n] = 0 for all n, when
x[n] is as given above?

A. a = –1, b = 1 B. a = 0, b = 1
C. a = 1, b = 1 D. a = 0, b = –1

The filter can be used to approximate as [2019: 2 Marks]

A. low-pass filter B. high-pass filter 39. Let h[n] be length-7 discrete-time finite

C. band-pass filter D. band-stop filter impulse response filter, given by

[2016: 2 Marks, Set-3] h[0] = 4, h[1] = 3, h[2] = 2, h[3] = 1

37. An LTI system with unit sample response h[–1] = –3, h[–2] = –2, h[–3] = –1

h[n] = 5[n] − 7[n − 1] + 7[n − 3] − 5[n − 4] is and h[n] is zero for |n|  4. A length-3
finite impulse response approximation g[n]
a
of h[n] has to be obtained such that
A. low-pass filter B. high-pass filter
2
C. band-pass filter D. band-stop filter E(h, g) =

− ( ) ( ) d
H e j − G e j is
[2017: 1 Mark, Set-2]
38. It is desired to find three-tap causal filter minimized, where H e ( )
j
and G e ( )
j
are
which gives zero signal as an output to an
the discrete-time Fourier transforms of
input of the form
h[n] and g[n], respectively. For the filter
 jn   jn 
x[n] = c1 exp  −  + c2 exp  2  , that minimizes E(h, g), the value of 10g[–
 2   
1] + g[1], rounded off to 2 decimal places,
Where c1 and c2 are arbitrary real
is __________.
numbers. The desired three-tap filter is
[2019: 2 Marks]
given by

ANSWER

1. A 2. B 3. B 4. D 5. C 6. C 7. D 8. B 9. A 10. A

11. C 12. C 13. C 14. C 15. B 16. A 17. 12 18. A 19. 0 20. C

21. B 22. D 23. C 24. B 25. D 26. 2 27. C 28. B 29. 0.5 30. C

31. C 32. A 33. B 34. A 35. B 36. 2.10 37. C 38. B 39. 27

65
www.gradeup.co

66
www.gradeup.co

67
www.gradeup.co

68
www.gradeup.co

SOLUTION

  4. Using the following transform pair,


1. H(z) =  u(n)  z−n =  1  z−n
n=0 n=0
z Ak
−Ak (dk )nu[−n − 1] ⎯⎯


1 − dk z−1
For converge,  z−n 
with ROC: z  dk
n=0

−1 z z Ak
or, z 1 We have ⎯⎯ →
z − 0.2 1 − dk z−1

or, z  1 With ROC: z  dk

z z z
2. −anu[−n − 1]  z  a We have ⎯⎯ → −(0.2)nu[−n − 1].
z−a z − 0.2

 −5nu[−n − 1]  z
z  5 5. 2y(z) = z−2y + z−1x(z) − 2x(z)
z −5
(2 − z−2 )y(z) = (z−1 − 2)x(z)

y(z) (z−1 − 2)
=
x(x) (2 − z−2 )

ROC contains unit circle. Hence system

is stable.
For system to be stable, the ROC should
3. Output
include unit circle.
Y(z) = H(z) X(z)
 2 − z−2  0
4 2 4 −3
= 2(z + z − 2z + 2 − 3z )z  2  z−2


= 2(z + z−1 − 2z−2 + 2z−3 − 3z−7 )  z
2
Taking inverse z-transform, we have
z−1  2
y(n) = 2[(n + 1) + (n − 1) − 2(n − 2)
 
z
+2 + (n − 3) −3(n − 7)] 2 2
Hence   2 and  for any value.
At n = 4, y(4) = 0

69
www.gradeup.co

n n Hence, x1 n + x2 n have ROC given by


5 6
6. x(n) =   u(n) −   u(−n − 1)
6 5 1 2 1
 z  , a= ,b=
2
3 3 3 3
 n  n
5 6
X(z) =   6  u n z−n −   5  u[−n − 1]  z−n For, x1 n − x2 n ;
n =− n =−
X3 z  = X1 z  − X2 z 

5
n  6   n
  6  z−n − 1 +    u ( −n) z−n 
 
 ( az−1 )  (b−1z )
n n
= = −1+
 n =−  5 

n=0   n=0 n=0


5
n 
6
−n
X2 z  will be converge for az−1  1 and
=    z−n+1 + L −    z n

n=0  6  n=0  5  n
b−1z  1 or, z  b and z  a .
5 −1
The first term will converge when z 1
6
ROC remains same 1  z  2 .
3 3
or, z  5
6 8. For left-handed signal,
 −1
The second term will converge, when, anu ( −n − 1) z−n = −
X z  = −   anz−n
n =− n =−
6 −1
z  1 or, z  6  
5 5
( )  ( a−1z )
n n
= −  a−1z =1−
n =1 n=0
Thus, the region of convergence is

 ( a−1z ) ( )
n 2
5 6 as, = 1 + a−1z + a−1z + ...
 z 
6 5 n =1

7. For right sided exponential sequence, 1


=
x1[n] = anu[n] 1 − a−1z
1 1
 
then, X z  = 1 − =
 (az )
n
X1 z  =  n
a u n z −n
= −1
1−a z −1
1 − az−1
n =− n=0


and ROC is given by z  a
n
Convergence required that  az −1

Here a = 2,
n =−

−1 Then, ROC: z  2 includes unit circle.


This is possible only or, if az 1
n
Hence, x n = −0.5 z  u  −n − 1
or, z  a

For left-sided exponential sequence and x 0  = 0

X2 n = b−n u  −n − 1 1


n
1
n
9. x (n) =   u (n) −   u ( −n − 1)
3 2
 
 (b−1z )
n n
X2 z  =  −bnu  −n − 1 z−n = 1 − 1
Let, x1(n) =   u n
n =− n= 0
3
 n
1
X2(n) converges only if b−1z  1 or, x1 z  =
−n
  3  u (n)z
n =−
z  b

70
www.gradeup.co

 n It can be inferred that if the system is


1  1
= −   z−1  =
n=0  3  1 stable, then H(z) converges for z = e j ,
1 − z−1
3 hence, for a stable discrete-time LT1
1 −1 system, the ROC of H(z) must contain the
X1 z  will converge where z 1
3 unit circle |z| = 1.
Further, for the system having impulse
or, z  1
3 response
n
 n z
1 −n 1 h[n] = nu[n], H(z) = , z  
and, x2 n = −   u ( −n − 1)z −    z−n z−
2
  n =−  2 
For z   , ROC of H(z) includes z = ,
 −n 
1  n
= −   z−1  = −  (2z ) therefore h(n) is a causal sequence and
n =1  2  n =1
thus the system is causal.

n 3 −1
=1−  (2z ) 4
z 2−
n =1 Now, Given, H(z) =
3 1
1 1 − z −1 + z −2
For convergence of X2(z), 2z  1 or z  4 8
2
1 1
. = +
1 1
1 − Z−1 1 − Z−1
Hence, ROC for x(n) should be in the range 4 2
1
of 1  z  1 For, S1: ROC, z  , H(z) contain unit
3 2 2
10. From, z-transform property of circle and includes z = , the system is
stable and causal.
z−m   n − m
1
For S2: ROC, z  , H(z) does not contain
We have 4
X ( z )  5 n + 2 + 4 n − 1 + 3 n unit circle and excludes z = , the system

11. A discrete-time LT1 system is B1B0 is neither stable nor causal.

(Bounded input bounded output) stable if 1 1


For S3: ROC  z  , H(z) does not
4 2
and only if its impulse response h[n] is
contain unit circle and excludes z = , the
absolutely summable, that is
system is neither stable nor casual.

 h n  
1
n
1
n
n =− 12. x n =   −   u n
 3 2
Now, H(z) =  h[n]z−n
n
n =− 1
For   , ROC is 1  z  3
Let z = ei so that 3 3

n
z = e− j = 1 then 1 1
For   u n , ROC is z 
2 2
N0 
H(e− j ) =
2
=  h n   Thus, common ROC is
1
 z 3
n =− 2

71
www.gradeup.co

n n
 1 1
14. x(n) =  − 9  4(x) −   4 − (−n − 1) 19. y n =  x m
  3 m= 0

Right Side Signal Left Side Signal


According to accumulation property of z-
ROC is z  1 , ROC is z  1 transform,
9 3
X(z)
Y z  =
So ROC is 1  z  1 (1 − z−1 )
3 9

15. Given x n = x  −n 2 zX(z)


 =
z(z − 1) (z − 1)
 x(z) = x(z−1)
2z−2 2z−3
 X[z] = = 
[Time reversal property in z-transform] (z − 1) (1 − z−1 )

 if one zero is 0.5 + j0.25 x [n] = 2u[n − 3]


1 thus x [2] = 0
then other zero will be
0.5 + j0.25
20. Since x[n] in absolutely summable thus its
16. Given system equation as
ROC must include unit circle.
y[n] = y[n − 1] + x[n]

y(z) 
 =
x(z) 1 − z−1


 H(z) =
1 − z−1

h n = ()hu[n] [causal system]


Also given that  h[n] = 2
h=0
Thus, ROC must be inside the circling
 1  radius 2. x[n] must be a non-causal signal.
 =2
1 −  
21. Given sequence

1− =
2 x(n) = (a)n x(n) + (b)n x(n),
 Also given 0 | a | | b |  1
 =1−
2
 The region of convergence (ROC)
1 1 1
17. X(z) = = = (| z || a |)  (| z || b |)
(1 − 2z−1 )2 (1 − 2z−1 ) (1 − 2z−1 )
=| z || b |
x n = 2nu n * 2nu n
n
n n 1
x n =  2  2(
n −k )
k 22. x(y) = (2) u(n) +   u(−n − 1)
k =0
2
2 ROC = (| z | 2)  (| z | 1 / 2) = 
2k  2(
2 −k ) 0
 x 2 =  2 .22 + 21.21 + 22.20
k =0 So, the ROC of z-transform is null.

= 20.22 + 21.21 + 22.20 = 4 + 4 + 4 = 12

72
www.gradeup.co

z
23. Here (a)n x(n)  X  
 a

a = −1

(−1)n x(n)  X(−z)

but x(n) = [n − 3] + 2[n − 5]

y(n) = (−1)n x(n)

= (−1)n (n − 3) + 2(n − 5)


Even option D looks like similar but in
 y(n) = −(n − 3) − 2(n − 5) = −x(n) option B, the zeros that are outside the
Hence, the value of signal y(n) is –x(n). unit circle have real part 2, but we need 1.

24. It is given that H(z) is z-transform of a 25.


real-valued signal h(n).
Y(n) = X(n) – X(n–1)+X (n–2)–X(n – 3)
1
P(z) = H(z)H   and P(z) has 4 zeros Y(z) = 1 – z–1 + z–2 – z–3
z

 P(z) are, sum of zeros of H(z) and zeros

1
of H   . 3 poles at z = 0 and number of zeros is 4
z
So, the option (D) is correct
1 1 26. Magnitude will become constant for all pass
If z1 = + j is one zero then there must
2 2
system
1 1
be a zero at z1* = − j
2 2

*
Let z1, z1 represent zeros of H(z) then the

*
1 1  1 
zeros of H   will be and  
z z1  z1 

1 1
= =1−i α=2
z1 1 1
+j −1
2 2 27. h1[n] = (n − 1) h1(z) = z
*
1 h2[n] = (n − 2) h2(z) = z−2
  =1+ j
 z1  Hence in cascade, overall z-transform of
1 1 impulse response,
Hence zeros of P(z) are   j  and
 2 2 H(z) = h1(z)  h2 (z)

(1  j) or 0.707 |  45 and  2 |  45 = z−1  z−2 = z−3


 
 h(n) = (n − 3)

73
www.gradeup.co

28. Overall transfer function = z–1 1 −2 5


X(z) − z Y(z) + z−1Y(z) = Y(z)
(since unit delay T.F = z–1) 6 6

5 −1 1
H1(z)H2(z) = z−1 Y(z) − z Y(z) + z−2 Y(z) = X(z)
6 6
z−1 (1 − 0.6z1 )
H2 (z) = = z−1  5 1 
H1(z) (1 − 0.4z1 ) Y(z) 1 − z−1 + z−2  = X(z)
 6 6 
−1 −1
29. H1(z) = (1 − Pz ) T.F. of the system,

H2(z) = (1 − qz−1)−1 H(z) =


Y(z)
=
1
X(z)  5 −1 1 −2 
1 1 1 − 6 z + 6 z 
H(z) = +r  
−1
1 − Pz (1 − qz−1 )
z2
1 − qz−1 + r(r − Pz−1 ) H(z) =
=  2 5 1
(1 − Pz−1 )(1 − Pz−1 ) z − 6 z + 6 
 
(1 + r) − (q + rp)z−1
=
(1 − Pz−1 )(−Pz−1 ) z2
H(z) =
 1 1
q + rp z − 2  z − 3 
zero of H(z) =   
1+r
Since zero is existing on unit circle Pole location:

q + rp q + rp 1 1
 = 1 or = −1 z− =0  z =
1+r 1+r 2 2
1 r 1 r 1 1
− + − + z− =0  z =
4 2 = 1 or 4 2 = −1 3 3
1+r 1+r
31. Minimum phase system has all zeros inside
1 r 1 r
− + = 1 + r or − + = −1 − r
4 2 4 2 unit circle maximum phase system has all
5 r 5 3 −3r zeros outside unit circle mixed phase
 r =−  =− or =
2 2 4 4 2
system has some zero outside unit circle
1
r = − and some zeros inside unit circle.
2
 r = 0.5 7 −1 3 −2
For H(s) = 1 + z + z
2 2
5
r = − is not possible.
2 One zero is inside and one zero outside
30. unit circle hence mixed phase system.
32.

 H(ej ) = e− j2 − e− j3


So, it is FIR high pass filter.

74
www.gradeup.co

75
www.gradeup.co

33. For an all pass system, The ROC should encircle unit circle to make
1 1 the system stable. From the given pole
Pole = *
or Zero =
zero pole* pattern it is clear that, to make the system
Pole = a stable, the ROC should be two-sided. Thus
Zero = 1 impulse response for the system should be
b
1 1 two-sided.
 = or b = a*
b a* 36. Given: h[n] = 1  [n] + 1  [n − 1] + 1  [n − 2]
2
3 3 3
34. Given y n =  ix (n − i)
i=0 ( )
H e j =
1 j
3
f 1 + 2 cos 
 y n = 0 x n + 1x n − 1 + 2 x n − 2
+ 3 x n − 3 ( )
H ej = 0  1 + 2 cos 0 = 0
Getting a null at zero frequency implies 1 2
 cos 0 = − ; 0 = = 2.10 rad.
that given filter can be high pass filter but 2 3
it cannot be low pass filter.
37. Given:
High pass filter is possible if we have
h[n] = 5[n] − 7[n − 1] + 7[n − 3] − 5[n − 4]
negative coefficients.
Let say, 1 = 2 = 0, 0 = −3
H(ej ) = 5 − 7  e− j + 7e−3j − 5e−4j
 y [n] = −3x [n] + a3x [n − 3]
Now, for  = 0,
H(z) = −3 1 − z−3 
  H(e10 ) = 5 − 7  1 + 7  1 − 5  1 = 0.

 H(e ) = −3 1 − e
i − j3 
For  = , H(ej) = 5 − 7(−1) +7(−1) + 5(−1)
 
 j 3 3  = 5 + 7 – 7 – 5 = 0.
− j3 −j
e 2 − e 2 
= −3 e 2    2j System is attenuating low and high
 2j 
frequencies whereas passing the mid
3
 3  − j 2 frequencies. So, it is a bandpass filter.
= −3 2j sin e
 2 
  38. It is given that
3 
3 −j
2
j
2 h(n) = [1, a, b]
= −3 2  sin e e
2
 
−j n j n
j
 H(e ) =0 and x (n) = C1e 2 + C2e 2
= 0
In other cases it in not possible.  y(n) = 0
35. Now If h(n) = [1, a, b]

 H(e j ) = 1 + ae− j + be− j2

Let evaluate H e
j
( ) at f nil 2 frequency

 −   − 
− j − j2 
( )
 
H e j− /2 = 1 + ae  2  + ae  2 

= 1 + ae+ j /2 + be j

76
www.gradeup.co

= 1 + [a(j)] + [b(−1)] (By Parseval theorem)

= (1 − b) + j(a) h(n)  H(ej )

H e (
j /2
)
= (1 − b) − ja g(n)  G(ej )
j j
 −j   Now, h(n) – g(n)  H(e ) − G(e )
(
H e j.2
) = He 2  =
 
(1 − b ) 2
+a2
Energy of
 

So the expression of y(n) is 1 2
[h(n) − g(n)] =  H(e j ) − G(e j ) d
1 
2 −
1/2 − j n +1 
y(n) = (1 − b ) + a2 
2  2 
C1e  2 energy of
 

 
( ) ( )
2
[h(n) − g(n)] = 
1/2 − j n +2  j
+ (1 − b ) + a2 
2
C2 e 2   H e − G e j d
  
−

for y(n) = 0 
( ) ( )
2
 E(h, g) =  H e j − G e j d
2 2
 k = (1 − b) + a = 0 −


Now from option = 2  h (n) − g (n)
2

From option (a) a = –1, b = 1, then n =

We want to minimize E(h, g)


k = 02 + 12 = 1 (not correct)
Using equation (i) and equation (ii) we get
From option (b) a = 0, b = 1, then
h(n) – g(n) = [–1, –2, –3 – a, 4 – b,
2 2
k = 0 + 0 = 0 (correct) 3 – c, 2, 1]
From option (a) a = 1, b = 1, then  E(h, g) = 2 h(n) − g(n) 2
k = 02 + 12 = 1 (not correct) = 2 h(n) − g(n)
2

From option (a) a = 0, b = 1, then


 E(h, g) = 2[(−1)2 + (−2)2 + (−3 − a)2
2 2
k = 2 + 0 = 2 (not correct)
+(4 − b)2 + (3 − c)2 + 22 + 12 ]
39. It is given that
h(n) = [−1, − 2, − 3, 4, 3, 2, 1] … (i) = 2[10 + (−3 − a)2 + (4 − b)2 + (3 − 1)2 ]
g(n) = [a, b, c] To minimize the value of E(h, g)
It is mentioned that Equation, –3 – a = 0  a = – 3

( ) ( )
2
E(h, g)

H e j − G e j d, and 4 – b = 0  b = 4
−x
and 3 – c = 0  c = 3
is minimised, If h(n) and g(n) represent
→ g(n) = [a, b, c] = [–3, 4, 3]
IDTFT of H(ej), G(ej) then
So 10g (–1) + g(1) = 10a +c
2
E(h, g) = 2 h(n) − g(n) = (10(–3) + 3) = –30 + 3 = –27

❖❖❖❖

77
7
www.gradeup.co

DTFT, DFT & FFT

Analysis of Previous GATE Papers

2017 Set 1

2017 Set 2

2016 Set 1

2016 Set 2

2016 Set 3

2015 Set 1

2015 Set 2

2015 Set 3
2020

2019

2018
Year →
Topic
Topic ↓

MCQ Type
1 Mark
Numerical Type
Fourier Transform
MCQ Type
Of Discrete-Time 2 Marks
Numerical Type 1 1 1

Total 2 2 2

MCQ Type
1 Mark
Numerical Type

Discrete Fourier Transform MCQ Type 1 1


2 Marks
Numerical Type 1 1

Total 2 2 2 2

MCQ Type
1 Mark
Numerical Type

Fast Fourier Transform MCQ Type 1


2 Marks
Numerical Type 1

Total 2 2

78
www.gradeup.co

FOURIER TRANSFORM OF DISCRETE-TIME 5. Consider the signal


SIGNAL X n = 6 n + 2 + 3 n + 1 + 8 n

1. A Fourier transform pair is given by + 7 n – 1 + 4 n – 2 . If X e j ( )


n
2 Ae+ j6 t is the discrete-time Fourier transform of
3 u (n + 3 ) F.T.
⎯⎯⎯ → ,
  2 x[n],
1 –   e–j2f
3 
1
When u[n] denotes the unit step sequence,
then
 –
( )
X e j sin2 (2) d  is equal to____

the values of A is ______. [2016: 2 Marks,Set-1]


[2004: 1 Mark] 6. Let h [n] be the impulse response of
1
n
discrete-time linear time invariant (LTI)
2. Let x(n) =   u (n) , = X2 (n) and y (e j ) be
2 filter. The impulse response is given by
the Fourier transform of y(n). Then Y(ej0) 1 1 1
h[0] = ;h[1] = ;h[2] = and h[n] = 0 for
3 3 3
is
n < 0 and n > 2.
1
A. B. 2 Let H() be the discrete-time Fourier
4
transform (DTFT) if h[n], where to is the
C. 4 D. 4
3 normalized angular frequency in radians.
[2005: 1 Mark] Given that H(0) = 0 and 0 <0<, the
3. The Fourier transform of y(2n) will be value of 0 (in radians) is equal to____.

A. e–j2 | cos 4 + 2 cos 2 + 2] [2017: 2 Marks, Set-1]


7. Let X[k] = k + 1, 0  k  7 be 8-point DFT
B. [cos2 + 2 cos  + 2]
of a sequence x[n], where
C. e–j[cos 2 + 2 cos  + 2] N−1

–j  X[k] =  [n]e –j2mk /N


. The value (correct to
D. e 2
[cos 2 + 2 cos  + 2] n= 0

3
[2005: 2 Marks] two decimal places) of  x[2 n] is _____.
n=0
4. A signal x(n) = sin(0n + f) is the input to
[2018: 2 Marks]
a linear time-invariant system having a

( )
frequency response H e j . If the output of DISCRETE FOURIER TRANSFORM

the system Ax(n–n0 ), then the most 8. A 5-point sequence x[n] is given as
x[–3] = 1, x[–2] = 1, x[–1] = 0, x[0] = 5, x[1] = 1.
general form of  H e j will be ( )
( )
Let X e j denote the discrete-time Fourier
A. –n0 0 +  for any arbitrary real 

transform of x[n]. The value of  X ( e )d 
j
B. –n0 0 + 2k for any arbitrary integer k.
–

C. n0 0 + 2k for any arbitrary integer k A. 5 B. 10


. D. –n0 0  C. 16 D. 5+j10

[2005: 2 Marks] [2007: 2 Marks]

79
www.gradeup.co

9. {a(n)} is a real-valued periodic sequence 13. The N-point DFT of a sequence x[n], 0  n
with a period N. x(n) and X(k) form N-  N – 1 is given by
point Discrete Fourier Transform (DFT) 1 N–1 –j
2
nK

pairs. The DFT Y(k) of the sequence


X K  =  x n e
N n =0
N
, 0  k  N–1

1 N–1 Denote this relation as X = DFT (x). For N


y ( n) =  x (r ) x (n + r ) is
N r =0 = 4, which one of the following sequences
1 N–1 satisfies DFT (DFT (x) ) = x.
A. X (k )  X(r)X '(k + r)
2
B.
N r =0 A. x = [ 1 2 3 2] B. x = [ 1 2 3 2 ]

1 N–1 C. x = [ 1 3 2 2 ] D. x = [ 1 2 2 3 ]
C.  X(r)X(k + r)
N r =0
D. 0 [2014: 2 Marks, Set-4]
14. Two sequences [a, b, c] and [A, B, C] are
[2008: 2 Marks]
related as,
10. The 4-point discrete Fourier Transform
(DFT) of a discrete time sequence {1, 0, 2,  A  1 1 1  a 2
   –1   i

W3–2  b where, W3 = e .


3

3} is B  = 1 W3
C  1 W3–2 W3–4  c 
A. 0, – 2 + 2j, 2, – 2 – 2j .
if another sequence [p, q, r] is derived as,
B. 2, 2 + 2j, 6, 2 – 2j .
a 1 1 1  1 0 0   A / 3
C. 6,1 – 3j, 2,1 + 3j .    1   
b = 1 W3 W32  0 W32 0  B / 3 
D. 6, – 1 + 3j, 0, – 1– 3j . c  1 W32 W34  0 0 W34  C / 3 

[2009: 2 Marks] then the relationship between the


11. The first five points of the 8-point DFT of a sequences [p, q, r] and [a, b, c] is
real valued sequence are 5, 1 – j3, 0, 3 – A. [p, q, r] = [b, a, c]
j4 and 3 + j4. The last two points of the B. [p, q, r] = [b, c, a]
DFT are respectively C. [p, q, r] = [c, a, b]
A. 0, 1 – j3 B. 0, 1 + j3 D. [p, q, r] = [c, b, a]
C. 1 + j3, 5 D. 1 – j3, 5 [2015: 2 Marks, Set-1]
[2011: 2 Marks] 15. Consider two real sequences with time-
12. The DFT of a vector [a b c d] is the vector origin marked by the bold value,
[]. Consider the product X1[n] = {1, 2, 3, 0}, x2[n] = {1, 3, 2, 1}.
Let X1 (k) and X2(k) be 4-point DFTs of x1
a b c d
  [n] and x2, respectively.
d a b c
[p qr s ] = ab c d 
c d a b Another sequence x3 [n] is derived by
 
b c d a taking 4-point inverse DFT of X3(k) =

The DFT of the vector [p q r s] is a scaled X1(k)X2(k). The value of x2[2] is_____.

version of [2015: 2 Marks, Set-2]


16. The Discrete Fourier Transform (DFT) of
A.      B.      
2 2 2 2
  the 4-point sequence
C.  +   +   +   +   x[n] = {x[0], x[1], x[2], x[3]} = {3,2,3, 4)is

D.       X[k] = {X[0], X[1], X[2], X[3]}


[2013: 2 Marks] = {12,2 j, 0,– 2 j}.

80
www.gradeup.co

If X1[k] is the DFT of the 12-point sequence 19. A continuous-time speech signal xa(t) is
X1 [n] = {3, 0, 0, 2, 0, 0, 3, 0, 0, 4, 0, 0), sampled at a rate of 8 kHz and the

X1 ( 8 ) samples are subsequently grouped in


the value of is _____.
X1 11 blocks, each of size N. The DFT of each
block is to be computed in real time using
[2016: 2 Marks, Set-2]
the radix-2 decimation-in-frequency FFT
17. A finite duration discrete time signal x(n) is
algorithm. If the processor performs all
obtained by sampling the continuous time
operations sequentially, and takes 20 ps
signal,
for computing each complex multiplication
x(t) = cos (200 πt) at sampling instants,
(including multiplications by 1 and -1) and

The 8-point DFT and the time required for addition/ subtraction
is negligible, then the maximum value of N
x[n] is defined as is____.
[2016: 2 Marks,Set-3]
20. Consider a six-point decimation-in-time
Fast Fourier Transform (FFT) algorithm, for
Which is true?
which the signal-flow graph corresponding
Only X[4] is non zero.
to X[1] is shown in the figure. Let W 6 =
All X[K] are non-zero
 j2 
Only X[3] and X[5] are non-zero exp  –  . In the figure, what should be
 6 
Only X[2] and X[6] are non-zero.
the values of the coefficients a 1, a2, a3 in
[2020: 2 Marks]
terms of W6 so that X[1] is obtained
FAST FOURISE TRANSFORM correctly?

18. For an N-point FFT algorithm with N =


2m,which one of the following statements is
TRUE?
A. it is not possible to construct a signal
flow graph with both input and output in
normal order
B. The number of butterflies in the mn
N
state is
m
C. In-place computation requires storage A. a1 = 1, a2 = W62 , a3 = W6
of only 2N node data
B. a1 = – 1, a2 = W62 , a3 = W6
D. Computation of a butterfly requires only
C. a1 = – 1, a2 = W6 , a3 = W62
one complex multiplication
D. a1 = 1, a2 = W6 , a3 = W62
[2010: 1 Mark]
[2019: 2 Marks]

81
www.gradeup.co

ANSWER

1. 3.375 2. D 3. C 4. B 5. 8 6. 2.10 7. 3 8. B 9. A 10. D

11. A 12. A 13. B 14. C 15. 11 16. 6 17. D 18. D 19. 8 20. D

SOLUTION

1. 2
x[n] =   u[n + 3]
n
4. As, x(n − n0 ) ⎯⎯
FT
→ e− j0n0  e j ( )
3
–3
( )
Then, Y e j = Ae
− j 0n0
 (e ) j

2 –j3
 3  .e
X(ei  ) =

2
   .e–jn
n

=   ( ) = Ae
Y e j − j0n0
 H(j) = … (i)
X (e )
n=–3  3  2 j
1 – e–ej
3
3 Given, frequency reforms
3 27
A=  = = 3.375
( )
2
  8 H(ej ) = H ej e( ) jH ej
… (ii)
n
1 Comparing equation. (i) with (ii),
2. x(n) =   u(n)
2
2n
( )
A = H e j
1
 x (n) =   2
u (n)
2 and H ( e ) = − n
j
0 0

n
 1 
2n 2
 For LTI system, phase and frequency
1
y(n) =   u (n) =     u(n) reform are periodic with 2. The general
2  2  
 
n
form of H e j ( ) is −0n0 + 2k.
1
 y(n) =   u(n) 5. Plancheral’s relation is given by
4
1  

 y(z) =
1
2 −
X e ( ) ( )
j
Y e j
d = 
n= 0
x (n) y (n)
1
1 – z–1 1 − cos(4)
4 Y(e j ) = sin2 (2) =
2
1
y(e j ) = 1 1 j4  1 − j4 
1 –j  = − e − e
1– e 2 4 4
4
1 1 1
y(e0 ) =
4 y (n ) =  (n) −  (n + 4 ) −  (n − 4 )
3 2 4 4

3. From the known y(n),  1 1 1 


y (n) = − , 0, 0, 0, , 0, 0, 0, − 
Y(2n) = x(n – 1)
 4 2

4

 Fourier transform
1
2
1
= e j + 1 + 2e–j + e–2j + e–3j 
2

x (n) = 6, 3, 8, 7, 4


1  

=e − j  1 2 j
(
2 e + e
−2j
) 
+ 2 + e j + e− j  ( )  −
X e j
( ) ( )
 Y e j
d = 2 
n=
x (n) y (n)
 

1
=e − j
cos2 + 2 + 2 cos  = 2 x (n) y (n) = 2  8  =8
n= 2

82
www.gradeup.co

6. Since, h n = 1  n + 1  n − 1 + 1  n − 2 Now


3 3 3
1 j
 H ( ej ) = e 1 + 2 cos 
3

 H ( e j0 ) = 0,
 (1 + 2 cos 0 ) = 0

 cos 0 = − 1
2
2
 0 = = 2.10 radians.
3
7. X (k ) = {1, 2, 3, 4, 5, 6, 7, 8}
3

 x 2n = x 0 + x 2 + x 4 + x 6


n= 0

= 4.5 − 0.5 − 0.5j − 0.5 − 0.5 + 0.5j


= 4.5 − 1.5 = 3

8. For discrete time Fourier transform (DTFT)


1
x(n) =  n X (e ) e
k =n
jk0 jk0n

When limN → , Thus, [6,-1 +3j, 0,-1-3j]

1

–t
11. f(t) = e u t ()
x n =  X e e d
2 −
j jn
( )
1
F(s) = L f(t) = L e–t u(t) =
8 +1
Putting n = 0,
 In frequency domain, s = j
1
x 0 =  X e e d
2 −
j j0
( ) 1 –1
F ( j) = =  tan–1 
 (1 + j ) 1+ 2

  X ( e j ) d = 2x 0 = 2  5 = 10


−
For 3 dB bandwidth,
1 1
1 N−1 =
9. Given: y (n) =  x (r ) x (n + r ) 1+  2
2
N r =0
 = +1
It is auto correlation
Hence y(n) = rxx (n)  = 2fc
1
 rxx (n) ⎯⎯⎯ → X (k )
2
DFT
⎯  fc = Hz
N 2
10. We have and N = 4

For N = 4,

83
www.gradeup.co

12. DFT of vector [a b c] is    . DFT y [1 2 3 4]


1 1 1 1  1 
  1 1 1 1  a   
     1 1 − j −1 j  2 
   = 1 –j –1 j  b  X=
   1 –1 1 –1  c  4 1 −1 1 −1 3 
  
     1 + j −1 − j  4
   1 j 1 –j  d
 10 
 a+b+c+d   
  1  2 + 2j 
a – jb – c + jd =
=  ...(i) 4 2 
 a–b + c – d   
   −2 − j2
a + jb – c – jd
DFT of (x) will not result in [1 2 3 4]
Given: [p q r s] = [a b c d]
Try with DFT of y [1 2 3 2]
a b c d 1 1 1 1  1
    
b a b c
...(ii) 1 1 − j −1 j  2
c c a b X=
  4 1 −1 1 −1 3
d d d a   
1 + j −1 − j  2
= [a2 + bd + c2bd ab + ab + cd + cd 8 4
2ac+b2+d2+d2 2ad+2bc]    
1  −2  −1
= =
DFT of [p q r s] is given as 4 0 0
   
1 1 1 1   −2  −1
 
1 − j −1 j  4 1 1 1 1   4 
[p q r s]      
1 −1 1 −1 −1
  DFT of   =
1 1 − j −1 j   −1
1 j −1 − j  0 4 1 −1 1 −1  0 
    
 −1 1 + j −1 − j   −1
(p + q + r + s)(p − jq − pr + js)(p − q + r − s) 
= 
 (p + jq − r − js) 2  1
   
1 4 2
=  = 
2 6  3
= 22 22     
4 2
 p + q + r + s = (a2 + c2 + 2bd) + (2ab + 2cd) Same as x
Then ‘b’ is right option.
+(b2 + d2 + 2ac) + (2ab + 2bc)
15. x1 n = {1, 2, 3, 0}, x2 n = {1, 3, 2, 1}
… from eq. (ii)
x3 (k ) = X1 (k ) X2 (k )
= (a + b + c + d)2 … from eq. (i)
Based on the properties of DFT,
=a x1 n  x2 n = X1 (k ) X2 (k ) = x3 n
13. This can be solved by directly using option Circular convolution between two 4-point
and satisfying the condition given in signals is as follows:
question 1 0 3 2  1  9 
    
X = DFT(x) 2 1 0 3 3  8 
=
3 2 1 0 2 11
1 N−1 2     
0 3 2 1  1 14
−j nk
DFT (DFT (x)) = DFT(X) =
N
 X n e
n=0
N

 x3 2 = 11

84
www.gradeup.co

16. Discrete Fourier Transform (DFT) of the 4-


point sequence is

n 
x1 n = x  
3 
X1 K  = {12, 2j, 0, − 2j, 0, − 2j, 12, 2j, 0, − 2j}

X1 8 = 12; X1 11 = −2j

X1[8] 12 18. For N-point Fast Fourier Transform (FFT),


= =6
X1[11] −2j
with N = 2m.
17. X(t) = cos (200 ϕt) We need only one complex multiplication
to compute a butterfly.
19. The number of complex multiplications

N 
required for DIF-FFT =  log2 N 
 2 
x[0] = 1
N 
  log2 N  (20 sec ) = 125  sec
x[1] = 0  2 
x[2] = –1
20. Using DIT algorithm are can obtain FFT
x[3] = 0
coefficient [X(1)].
x[4] = 1
The given butterfly structure is a standard
x[5] = 0
structure where
x[6] = –1
a1 = W60 = 1
x[7] = 0
a2 = W61 = W6
x[0] = {1, 0, –1, 0, 1, 0, –1, 0}
a3 = W62

❖❖❖❖

85
www.gradeup.co

86
8
www.gradeup.co

Sampling

Analysis of Previous GATE Papers

2017 Set 1

2017 Set 2

2016 Set 1

2016 Set 2

2016 Set 3

2015 Set 1

2015 Set 2

2015 Set 3
2020

2019

2018
Year →
Topic
Topic ↓

MCQ Type 1 1 1
1 Mark
Numerical Type 1

Sampling Theorem MCQ Type 1


2 Marks
Numerical Type 1 1 1

Total 2 2 2 2 1 1 2

MCQ Type
1 Mark
Numerical Type

Application MCQ Type


2 Marks
Numerical Type

Total

87
www.gradeup.co

SAMPLING THEOREM C. y is nonzero for s > 2 but zero for

s < 2.
Statement for linked Answer
Questions 1 and 2: D. y is zero for s > 2 but nonzero for

In the following network, the switch is s < 2.

closed at t = 0–and the sampling starts [2009: 2 Marks]


from t = 0 The sampling frequency is 10 4. A band-limited signal with a maximum
Hz. frequency of 5 kHz is to be sampled.
According to the sampling theorem, the
sampling frequency which is not valid is
A. 5 kHz B. 12 kHz
C. 15 kHz D. 20 kHz
1. The sample x(n) (n = 0, 1, 2,….) are given [2013: 1 Mark]
by 5. Consider two real valued signals, x(t)
A. 5 (1 – e–0.05n) B. 5e–0.05n band-limited to [–500 Hz, 500 Hz] and y(t)

C. 5 (1 – e–5n) D. 5e–5n band-limited to [–1 kHz, 1 kHz, For z(t) =


x(t) y(t), the Nyquist sampling frequency
[2008: 2 Marks]
(in kHz) is _____.
2. The expression and the region of
[2014: 1 Mark,Set-1]
convergence of the z – transform of the
6. Let x(t) = cos (10t ) + cos (30t ) be
sampled signal are
sampled at 20 Hz and reconstructed using
5z –5
A. , z e an ideal low-pass filter with cut-off
z – e5
frequency of 20 Hz. The
5z –0.05 frequency/frequencies present in the
B. , z e
z – e–0.05 reconstructed signal is/are
5z A. 5 Hz and 15 Hz only
C. , z  e–0.05
–0.05
z–e B. 10 Hz and 15 Hz only
5z C. 5 Hz, 10 Hz and 15 Hz only
D. , z  e–5
–5 D. 5 Hz only
z–e
[2008: 2 Marks] [2014: 1 Mark, Set-3]
7. Consider a continuous time signal defined
3. An LTI system having transfer function
  t  
s2 + 1  sin    
and input x(t) = sin(t+1) is in  2 *
s2 + 2s + 1 as x ( t ) =    ( t – 10n)
  t   n=–
steady state. The output is sampled a rate    
 2 
s rad/s to obtain the final output {y(k)}.
Where ‘*’ denotes the convolution
Which of the following is true? operation and t is seconds. The Nyquist
A. y is zero for all sampling frequencies s sampling rate (in samples/sec) for x(t)
is______.
B. y is nonzero for all sampling frequencies
[2015: 2 Marks, Set-3]
s .

88
www.gradeup.co

8. A continuous-time sinusoid of frequency 33 discrete time filter with transfer function


Hz is multiplied with a periodic Dirac- 2z2 – 0.5032z
G(s) = , so that the impulse
impulse train of frequency 46 Hz. The z2 – 0.5032z + k
resulting signal is passed through an ideal response of the continuous-time filter,
analog low-pass filter with a cutoff sampled at 2 Hz, is identical at the
frequency of 23 Hz. The fundamental sampling instants to the impulse response
frequency (in Hz) of the output is _____. of the discrete time filter. The value of k is
[2016: 1 Mark,Set-1] _____.
  [2016: 2 Marks,Set-2]
9. The signal cos 10t +  is ideally sampled
 4  12. Consider the signal x(t) =
at sampling frequency of 15 Hz. The cos(6t) + sin ( 8t ) , where t is in seconds.
sampled signal is passed through a filter The Nyquist sampling rate (in
with impulse response samples/second) for the signal y(t) = x(2t
 sin ( t )    + 5) is
  cos  40t –  . The filter output is
    2 A. 8 B. 12

15   C. 16 D. 32
A. cos  40t – 
2  4 [2016: 1 Mark,Set-3]
13. The signal x(t) = sin (14000 t ), where t is
15  sin ( t )   
B.   cos 10t + 
2  t   4 in seconds is sampled at a rate of 9000
samples per second. The sampled signal is
15  
C. cos 10t –  the input to an ideal lowpass filter with
2  4
frequency response H(t) as follows:
15  sin ( t )   
D.   cos 10t –  1, f  12 kHz
2  t   2 H(f) = 
0, f  12 kHz
[2015: 1 Mark,Set-2]
What is the number of sinusoids in the
10. A continuous time function x(t) is periodic
output and their frequencies in kHz?
with period T. The function is sampled
A. Number = 1, frequency = 7
uniformly with a sapling period Ts. In which
B. Number = 3, frequencies = 2,7 11
one of the following cases is the sampled
C. Number = 2, frequencies = 2,7
signal periodic?
D. Number -= 2, frequencies = 7.11
A. T = 2Ts B. T = 1.2Ts
[2017: 2 Marks,Set-2]
C. Always D. Never 14. A band limited low-pass signal x(t) of
[2016: 1 Mark,Set-1] bandwidth 5 kHz is sampled at a sampling
11. A continuous –time filter with transfer rate fs. The signal x(t) is reconstructed
2s + 6 using the reconstruction filter H(f) whose
function H(s) = 2 is converted to a
s + 6s + 8 magnitude response is shown below:

89
www.gradeup.co

A. drop rate decreases and acquisition time

decreases

B. drop rate decreases and acquisition

time increases

The minimum sampling rate fs (in kHz) for C. drop rate increases and acquisition
perfect reconstruction of x(t) is _____.
time decreases
[2018: 2 Marks]
D. drop rate increases and acquisition time
APPLICATION
increases
15. For a given sample-and-hold circuit, if the
[2014: 1 Mark, Set-4]
value of the hold capacitor is increased,
then

ANSWER

1. B 2. C 3. A 4. A 5. 299–3.01 6. A 7. 0.4 8. 13 9. A 10. B

11. 0.049 12. C 13. B 14. 13 15. B

SOLUTION

1. current through resistor (or capacitor ) is –n

x (n) = 5e5e
210
–t
I = I(0+ ).e :
eRC x (n) = 5e–0.05n  t  0.

( )
 I o+ =
V
R
=
5
200k
= 25 A. 2. Since, x (n) = 5.e−0.05u (n)is a causal

signal. X ( z ) =  5.e–0.05nz–n
 RC = 200k  10 = 25. n=0

–t 5z
=
I = 25.e 2 A z – e–0.05
–0.05 –1
–t –t Its ROS is e z  1 | z |  e–0.05
3 –6
VR = R.I = 200  10  25.e 2  10 = 5.e 2 V.
3. Input x(t) = sin ( t + 1) = sin ( t + 1)
The voltage across the resistor is input to
  =1
sampler at frequency of 10 Hz.
s2 + 1
T (s) =
Thus, t = nT= n = n s2 + 2s + 1
f 10

90
www.gradeup.co

–2 + 1 1 
T ( j) = =0   ( f – kfs )
10 n=–
–2 + 1 + 2j
=1

So y(0) is zero for all sampling frequency 1


fs = = 0.1
Ts
s.

4. Here fm = 5 kHz
 fs  2fm = 10 kHz

B, C, D options are greater then 10 KHz


5. Multiplication in the domain
= Convolution in frequency domain
x1 ( t ) .x2 ( t ) = X1 ( j) X2 ( j)

Fundamental frequencies
= f1, f1  f2, f1  2 f2…= 500, 1500….
Nyquist rate = 2 × 1500 Multiplication in frequency domain will

= 3000 Hz = 3 kHz result maximum frequency is 0.2.

6. x ( t ) = cos (10 t ) + cos (30 t),

fs = 20 Hz
Spectrum of x(t)

Thus, Nyquist rate = 0.4 samples/sec

8. Given, fm = 33Hz,
Spectrum of sampled version of x(t)
fs = 46 Hz,

The frequency in sampled signal =  33,

13, 79, 59, 125. ………… The above

frequencies are passed to a LPF of cut-off

frequency 23 Hz. The output frequency =


After LPF, signal will contain 5 and 15 Hz
13 Hz.
component only
 
sin ( t / 2 )  9. Given signal is x(t) = cos 10t + 
7. x (t) = *   ( t – 10n)  4
( t / 2) n =–

Convolution in time domain becomes Neglect the phase-shift and it can be
4
multiplication in frequency domain. inserted at the end result.

91
www.gradeup.co

 If x1 (t) = cos10t ⎯⎯⎯


→ X1(f)
L 0
10. If is a rational number, then discrete
2
1
=  ( f – 5) + (f + 5)
2 time signal x(n) = cos ( 0n) will be periodic.

Given filter impulse response is, 11. Given a continuous- time filter with
 sin t    transfer function
h(t) =   cos  40t – 
 t   2
2s + 6
1 1
H ( s) = + =
= ( sin ct ) sin ( 40t ) 2
s + 6s + 8 s + 2 s + 4

H ( f ) = rect f *
1
 ( f – 20) –  ( f + 20 ) h ( t ) = e–2tu ( t ) + e–4tu ( t )
2j 
Given sampling frequency (fs) = 2Hz
1
= rect ( f – 20) – rect ( f + 20) For discrete time,
2j 
n
X1 (f) repeats with a value f0 = 15Hz and t = nTs =
2

( )
15
each impulse value is
2 h n = e–n + e–2n u n

Thus, the sampled signal spectrum and the 1 1


H (z) = +
spectrum of the filter are as follows: 1– e z –1 –1
1 – e–2z–1
z z
= +
–1
z−e z – e–2

2z2 – 0.5032z
=
z2 – 0.5032z + 0.049
k = 0.049

Hence the value of k is 0.049.


12. Given signal
x(t) = cos (6t) + sin ( 8t )
15
 Xs ( f ) H ( f ) =  ( f – 20) –  ( f + 20) 
4j  where t is seconds.
and y(t) = x(2t + 5)
15
xr ( t ) = sin ( 40t ) → recovered signal
2 y ( t ) = cos (12 t + 30 ) + sin (16t + 40 )

15   fm = 6
= cos  40t –  1
2  2


fm = 8
2
Insert the neglected phase shift
4
fm = 8 Hz
15   
 xr ( t ) = cos  40t – + 
2  2 4 ( fs )min = 2fm = 16Hz
15   Thus, the Nyquist sampling rate is 16 Hz.
= cos  40t – 
2  4

92
www.gradeup.co

13. x ( t ) = sin (14000 t ) ;fm = 7 kHz The spectrum of the sampled signal can be

fs = 9000 samples/s. Given as,

fs = 9 kHz

For proper reconstruction of the signal,

fs –5  8

 fs  8 + 5 = 13 kHz

The spectrum of the sampled signal can be


fs(min) = 13kHz
given as shown in figure.
15. Capacitor drop rate = dv
So, three sinusoids will be there at the dt

output of the LPF and the frequencies of


For a capacitor, dv  1
dt c
those sinusoids are 2kHz, 7 kHz and 11
 Drop rate decreases as capacitor value is
kHz.
increased
14. Let an arbitrary spectrum for x(t) as shown
For a capacitor, Q = cv = i  t  t  c
below:
 Acquisition time increase as capacitor

value increased

❖❖❖❖

93
www.gradeup.co

94
www.gradeup.co

1
www.gradeup.co

SYLLABUS

E nergy bands in intrinsic and extrinsic semiconductors, equilibrium carrier


concentration, direct and indirect band-gap semiconductors. Carrier transport:
diffusion current, drift current, mobility and resistivity, generation and recombination of
carriers, Poisson and continuity equations. P-N junction, Zener diode, BJT, MOS capacitor,
MOSFET, LED, photo diode and solar cell.

CONTENT
S.No. Chapter Page No.

1. Basics of Semiconductor Physics ........................................................................................... 4-20

➢ Energy Bonds ................................................................................................................................................... 5


➢ Intrinsic & Extrinsic Semiconductor Materials .................................................................................. 7
➢ Drift & Diffusion Currents............................................................................................................................ 8
➢ Mobility & Resistivity .................................................................................................................................... 10
➢ Generation & Recombination of Carriers ............................................................................................. 11
Answers........................................................................................................................................................ 15
Solution ........................................................................................................................................................ 15-20

2. Diodes ............................................................................................................................................. 22-44

➢ Diodes .................................................................................................................................................................. 23
➢ P-N Junction Diode ......................................................................................................................................... 25
➢ Zener Diode ....................................................................................................................................................... 30
➢ LED & Solar Cell ............................................................................................................................................... 30
➢ Tunnel Diode, LASER & Photodiode ....................................................................................................... 31
Answers........................................................................................................................................................ 34
Solution ........................................................................................................................................................ 34-44

2
www.gradeup.co

S.No. Chapter Page No.

3. Bipolar Junction Transistor .................................................................................................... 46-52

➢ Fabrication......................................................................................................................................................... 47
➢ Configuration & Biasing................................................................................................................................ 48
Answers........................................................................................................................................................ 50
Solution ........................................................................................................................................................ 50-52

4. Field Effect Transistor ............................................................................................................... 56-72

➢ Fabrication......................................................................................................................................................... 57
➢ Characteristics & Biasing ............................................................................................................................. 60
Answers........................................................................................................................................................ 65
Solution ........................................................................................................................................................ 65-72

3
1
www.gradeup.co

Basics of Semiconductor
Physics

Analysis of Previous GATE Papers

2017 Set 1

2017 Set 2

2016 Set 1

2016 Set 2

2016 Set 3

2015 Set 1

2015 Set 2

2015 Set 3
2020

2019

2018
Year →
Topic
Topic ↓

MCQ Type 1
1 Mark
Numerical Type
Energy Bonds MCQ Type 1 1
2 Marks
Numerical Type 1
Total 2 2 2 1
MCQ Type
1 Mark
Numerical Type
Intrinsic and Extrinsic
MCQ Type 1
Semiconductor Materials 2 Marks
Numerical Type
Total 2
MCQ Type
1 Mark
Numerical Type
Drift & Diffusion Current MCQ Type
2 Marks
Numerical Type 1 1
Total 2 2
MCQ Type
1 Mark
Numerical Type 1 1
Mobility and Resistivity MCQ Type
2 Marks
Numerical Type 2
Total 1 5
MCQ Type 1
1 Mark
Numerical Type
Generation & Recombination of
MCQ Type 1
Carriers 2 Marks
Numerical Type
Total 1 2

4
www.gradeup.co

ENERGY BONDS ranges of incident radiations most suitable?


Given that: Plank’s constant is 6.62 ×10–34J-s,
1. The band gap of silicon at 300 K is
velocity of light is 3 ×1010 cm/s and charge
A. 1.36 eV B. 1.10 eV
of electron is 1.6 ×10–19C
C. 0.80 eV D. 0.67 eV
A. 0.42μm < λ0< 0.87 μm
[2003 : 1 Mark]
B. 0.87 μm < λ0< 1.42 μm
2. The longest wavelength that can be
C. 1.42 μm < λ0 1.62 μm
absorbed by silicon, which has the band
D. 1.62 μm < λ0< 6.62 μm
gap of 1.12eV, is 1.1 μm. if the longest
[2014 : 1 Mark, Set-4]
wavelength that can be absorbed by
6. In the figure, in (pi) is plotted as a function
another material is 0.87 μm, then the band
of 1/T, where pi is the intrinsic resistivity of
gap of this material is
silicon, T is the temperature, and the plot
A. 1.416 eV B. 0.886 eV
C. 0.854 eV D. 0.706 eV is almost linear.

[2004 : 2 Mark]
3. The band gap of Silicon at room
temperature is
A. 1.3 eV B. 0.7 eV
C. 1.1 eV D. 1.4 eV
[2005 : 1 Mark]
4. Silicon is doped with boron to a
concentration of 4 × 1017 atoms/cm3.
The slope of the line can be used to
Assume the intrinsic carrier concentration
estimate
of silicon to be 1.5 × 1010/ cm3 and the
A. band gap energy of silicon (Eg)
value of kT/q to be 25 mV at 300 K.
B. sum of electron and hole mobility in
Compared to undoped silicon, the Fermi
level of doped silicon silicon (μn + μp).

A. goes down by 0.13 eV C. reciprocal of the sum of electron and

B. goes up by 0.13 eV hole mobility in silicon (μn + μp)–1

C. goes down by 0.427 eV D. intrinsic carrier concentration of silicon

D. goes up by 0.427 eV (ni).

[2008 : 2 Marks] [2014 : 1 Mark, Set-4]

5. At T = 300 K, the band gap and the 7. The cut-off wavelength (in μm) of light
intrinsic carrier concentration of GaAs are that can be used for intrinsic excitation of
1.42 eV and 106 cm–3, respectively. In a semiconductor material of band gap Eg =
order to generate electron hole pairs in 1.1 eV is ______.
GaAs, which one of the wavelength (λ0) [2014 : 1 Mark, Set-4]

5
www.gradeup.co

8. An N-type semiconductor having uniform  qx 


Assume that n (x) = 1015 e   cm ,
3

doping is biased as shown in the figure.  kT 

with α = 0.1 V/cm and x expressed in cm.

kT
Given = 0.026 V, Dn = 36 cm2s–1, and
q

D kT
= The electron-current density (in
 q
If Ec is the lowest energy level of the
conduction band, Ev is the highest energy A/cm2) at x = 0is

level of the valance band and Ef is the

Fermi level, which one of the following


represents and energy band diagram for
the biased N-type semiconductor?

A. –4.4×10–2 B. –2.2×10–2

C. 0 D. 2.2×10–2

[2015 : 2 Marks, Set-2]

10. A small percentage of impurity is added to

an intrinsic semiconductor at 300 K. Which


[2014 : 2 Marks, Set-4]
one of the following statements is true for
9. The energy band diagram and the electron,
n density profile n (x) in a semiconductor the energy band diagram shown in the

are shown in the figures. following figure?

6
www.gradeup.co

INTSINSIC & EXTXIURTC


SEMICONDUCTOR MATERIALS

13. n-type silicon is obtained by doping silicon


with
A. Germanium B. Aluminum
A. Intrinsic semiconductor doped with C. Boron D. Phosphorus
pentavalent atoms to form n-type [2003 : 1 Mark]
semiconductor 14. The primary reason for the widespread use
B. Intrinsic semiconductor doped with of Silicon in semiconductor device
trivalent atoms to form n-type technology is
semiconductor A. abundance of Silicon on the surface of
C. Intrinsic semiconductor doped with the Earth.
pentavalent atoms to form p-type B. larger band gap of Silicon in comparison
semiconductor to Germanium
D. Intrinsic semiconductor doped with C. favorable properties of Silicon-dioxide
trivalent atoms to form p-type (SiO2)
semiconductor D. lower melting point
[2016 : 1 Mark, Set-1] [2005 : 1 Mark]
11. There are two photolithography systems: 15. Which of the following is true?
one with light source of wavelength λ1, = A. A silicon wafer heavily doped with boron
156 nm (System 1) and another with light is a p+ substrate.
source of wavelength λ2 = 325 nm B. A silicon wafer lightly doped with boron
(System 2). Both photolithography is a p+ substrate.
systems are otherwise identical. If the C. A silicon wafer heavily doped with
minimum feature sizes that can be realized arsenic is a p+ substrate.
using System 1 and System 2 are Lmin1 and D. A silicon wafer lightly doped with
Lmin2 respectively, the ratio Lmin1/Lmin2 arsenic is a p+ substrate.
(correct to two decimal plaes) is _____. [2008 : 1 Mark]
[2018 : 1 Mark] 16. A silicon wafer has 100 nm of oxide on it
12. A single crystal intrinsic semiconductor is at and is inserted in a furnace at a
temp of 300 K with effective density of temperature above 1000oC for further
states for holes twice that of electrons. V T oxidation in dry oxygen. The oxidation rate
= 26 mV. The intrinsic Fermi level is A. is independent of current oxide
shifted from mid band gap energy level by thickness and temperature.
A. 9.01 meV B. 13.45 mev B. is independent of current oxide
C. 18.02 meV D. 26.90 meV thickness but depends on temperature.
[2020 : 1 Mark]

7
www.gradeup.co

C. slows down as the oxide grows. A. v × B


D. is zero as the existing oxide prevents B. B × v
further oxidation. C. along v
[2008 : 1 Mark] D. opposite to v
17. A bar of Gallium Arsenide (GaAs) is doped [2006 : 2 Mark]
with Silicon such that the Silicon atoms
20. Drift current in semiconductor depends
occupy Gallium and Arsenic sites in the
upon
GaAs crystal. Which one of the following
A. only the electric field.
statements is true?
B. only the carrier concentration gradient.
A. Silicon atoms act as p-type do pants in
C. both the electric field and the carrier
Arsenic sites and n-type do pants in
Gallium sites. concentration.

B. Silicon atoms act as n-type do pants in D. both the electric field and the carrier

Arsenic sites and p-type do pants in concentration gradient.


Gallium sites. [2011 : 1 Mark]
C. Silicon atoms act as p-type do pants in 21. Assume electronic charge q = 1.6 × 10–19 C,
Arsenic as well as Gallium sites. kT/ q = 25 m V and electron mobility μn =
D. Silicon atoms act as n-type do pants in
1000 cm2/ V-s. If the concentration
Arsenic as well as Gallium sites.
gradient of electrons injected into a p-type
[2017 : 1 Mark, Set-1]
silicon sample is 1 × 1021 cm-4, the
DRIFF & DIFFUSION CURRENTS magnitude of electron diffusion current
density (in A/cm2) is _____.
18. Under low level injection assumption, the
[2014 : 2 Marks, Set-2]
injected minority carrier current for an
22.The figure below shows the doping
extrinsic semiconductor is essentially the
A. diffusion current distribution in a p-type semiconductor in

B. drift current log scale.

C. recombination current
D. induced current
[2006 : 1 Mark]
19. The majority carrier in an n-type
semiconductor have an average drift
velocity v in a direction perpendicular to a
The magnitude of the electric field (in
uniform magnetic field B. The electric field
kV/cm) in the semiconductor due to non
E induced due to Hall effect acts in the
uniform doping is ______.
direction
[2016 : 2 Marks, Set-1]

8
www.gradeup.co

9
www.gradeup.co

23. The dependence of drift velocity of 26. A heavily doped n-typed semiconductor
electrons on electric field in semiconductor has the following data.
is shown below. The semiconductor has a Hole-electron mobility ratio: 0.4
uniform electron concentration of n = 1 × Doping concentration : 4.2 × 108 atoms/ m3
1016 cm3 and electronic charge q = 1.6 × Intrinsic concentration : 1.5 × 104 atoms/ m3
10–19 C. If a bias of 5 V is applied across a The ratio of conductance of the n-type
1 μm region of this semiconductor, the semiconductor to that of the intrinsic
resulting current density in this region, in semiconductor of same material and at the
kA/cm2 is ____. same temperature is given by
A. 0.00005 B. 2,000
C. 10,000 D. 20,000
[2006 : 2 Marks]
27. The ratio of the mobility to the diffusion
coefficient in a semiconductor has the unit
A. V–1 B. cm – V–1
C. V – cm–1 D. V – s
[2017 : 2 Marks, Set-1]
[2009 : 1 Mark]
MOBILITY & RESISTIVITY Linked Answer Questions 28 and 29:
The silicon sample with unit cross-sectional
24. The resistivity of a uniformly doped n-type
areas shown below is in thermal equilibrium.
silicon sample is 0.5 Ω – cm. If the
The following information is given: T = 300 K,
electron mobility (μn) is 1250 cm2/V - sec
electronic charge s 1.6 × 10 –19 C, thermal
and the charge of an electron is 1.6 × 10–19
voltage = 26 mV and electron mobility = 1350
Coulomb, he donor impurity concentration
cm2/V–s
(ND) in the sample is
A. 2 × 1016/cm3 B. 1 × 1016/cm3
C. 2.5 × 1015/cm3 D. 2 × 1015/cm3
[2004 : 2 Marks]
25. A Silicon sampled is doped with 1018
atoms/cm3 of Boron. Another sample B of
identical dimensions is doped with 10 18
atoms/cm3 of Phosphorus. the ratio of
electron to hole mobility is 3. The ratio of 28. The magnitude of the electric field at

conductivity of the sample A to B is x = 0.5 nm is

A. 3 B. 1/3 A. 1 kV/cm B. 5 kV/cm

C. 2/3 D. 3/2 C. 10 kV/cm D. 26 kV/cm

[2005 : 3 Marks] [2010 : 2 Marks]

10
www.gradeup.co

29. The magnitude of the electron drift current 33. A dc voltage of 10 V is applied across an n-
density at x = 0.5 μm is type silicon bar having a rectangular cross-
A. 2.16 × 10 A/cm
4 2
B. 1.08 × 10 A/cm
4 2
section, and length of 1 cm as shown in
C. 4.32 × 102 A/cm2 D. 6.48 × 102 A/cm2 figure. The donor doping concentration ND
[2010 : 2 Marks] and the mobility of electrons μ n are 1016
30. At T = 300 K, the hole mobility of a cm–3 and 1000 cm2-V–1–s–1, respectively.
kT The average time (in μs) taken by the
semiconductor μp = 500 cm2/V-s and =
q electrons to move from one end of the bar
26 mV. The hole diffusion constant DP in to other end is ____.
cm2/s is ___.
[2014 : 1 Mark, Set-3]
31. A silicon sample is uniformly doped with
donor type impurities with a concentration
of 1016/cm3. The electron and hole
motilities in the sample are 1200 cm2/V-s [2015 : 2 Mark, Set-2]

and 400 cm2/V-s respectively. Assume


GENERATION & RECOMBINATION OF
complete ionization of impurities. The
CARRIERS
charge of an electron is 1.6 × 10–19 C. The
34. The intrinsic carrier concentration o silicon
resistivity of the sample (in Ω – cm) is __.
sample at 300 K is 1.5 × 1016/m3. If after
[2015 : 1 Mark, Set-1]
doping, the number of majority carriers is
32. A piece of silicon is doped uniformly with
5 × 1020/m3, the minority carrier density is
phosphorous with a doping concentration
A. 4.50 × 1011/m3 B. 3.33 × 104/m3
of 1016/cm3. The expected value of mobility
C. 5.00 × 1020/m3 D. 3.00 ×10–5/m3
versus doping versus doping concentration
[2003 : 1 Mark]
for silicon assuming full do pant ionization
35. The concentration of minority carriers in an
shown below. The charge of an electron is
extrinsic semiconductor under equilibrium
1.6 × 10–19 C. The conductivity (in S-cm–1)
is
of the silicon sample at 300 K is ____.
A. directly proportional to the doping
concentration
B. inversely proportional to the doping
concentration
C. directly proportional to the intrinsic
concentration
D. inversely proportional to the intrinsic
concentration

[2015 : 1 Mark, Set-2] [2006 : 1 Mark]

11
www.gradeup.co

36. The electron and hole concentration in an A. n0 = 1.5 × 1016 cm–3,


intrinsic semiconductor are ni per cm3 at P0 = 1.5 × 105 cm-3
300 K. Now, if acceptor impurities are B. n0 = 1.5 × 1010 cm–3,
introduced with a concentration of NA per P0 = 1.5 × 1010 cm-3
cm3 (where NA>> n i) the electron C. no = 2.25 ×1015 cm–3,
concentration per cm at 300 K will be
3
P0 = 1.5 × 1015 cm-3
A. ni B. ni +NA
D. n0 = 2.25 × 1015 cm–3,
ni2 P0 = 1 × 105 cm-3
C. NA –ni D.
NA
[2014 : 1 Mark, Set-2]
[2007 : 1 Mark] 40. When a silicon dioe having a doping
37. In an n-type silicon crystal at room
concentration of NA = 9 × 1016 cm-3 on p-
temperature, which of the following can
side biased, the total depletion width is
have a concentration of 4 × 10 19
cm ? –3
found to be 3 μm. Given that the
A. Silicon atoms B. Holes
permittivity of silicon is 1.04 ×10–12F/cm,
C. Dopant atoms D. Valence electrons
the depletion width on the p-side and the
[2009 : 1 Mark]
maximum electric field in the depletion
38. The doping concentrations on the p-side
region, respectively are
and n-side of a silicon diode are 1 ×1016
A. 2.7 μm and 2.3 × 105V/cm
cm3 and 1 × 1017 cm3, respectively. A
forward bias of 0.3 V is applied to the B. 0.3 μm and 4.15 × 105V/cm

diode. At T = 300 K, the intrinsic carrier C. 0.3 μm and 0.42 × 105V/cm

concentration of silicon ni = 1.5 × 1010 cm–3 D. 2.1 μm and 0.42 ×105V/cm

kT [2014 : 2 Marks, Set-2]


and = 26 mV. The electron
q 41. A thin P-type silicon sample is uniformly
concentration at the edge of the depletion illuminated with light which generates
region on the p-side is excess carriers. The recombination rate is
A. 2.3 ×109 cm–3 B. 1 × 1016 cm3 directly proportional to
C. 1 × 10 17
cm –3
D. 2.25 × 10 cm 6 3
A. the minority carrier mobility.
[2014 : 2 Marks,Set-1] B. the minority carrier recombination
39. A silicon bar is doped with donor impurities
lifetime.
ND = 2.25 × 1015 atoms/cm3. Given the
C. the majority carrier concentration.
intrinsic carrier concentration of silicon at T
D. the excess minority carrier
= 300 K is ni = 1.5 × 1010 cm–3. Assuming
concentration.
complete impurity ionization, the
[2014 : 1 Mark, Set-3]
equilibrium electron and hole concentration
are

12
www.gradeup.co

42. Consider a silicon sample doped with

ND = 1 ×1015/cm3 donor atoms. Assume

that the intrinsic carrier concentration

Ni = 1.5 × 1010/cm3. If the sample is

additionally doped with NA = 1 × 1018/cm3

accepter atoms, the approximate number The hole concentration at t = 0 and the

of electrons/cm3 in the sample, at T = 300 hole concentration at t = 0.3 μu,


respectively, are
K, will be ____.
A. 1.5 × 1013 cm–3 and 7.47 × 1011 cm–3
[2014 : 2 Marks,Set-4]
B. 1.5 × 1013 cm–3 and 8.23 × 1011 cm–3
43. An n-type silicon sample is uniformly
C. 7.5 × 1013 cm–3 and 3.73 × 1011 cm–3
illuminated with light which generates 10 20
D. 7.5 × 1013 cm–3 and 4.12 × 1011 cm–3
electron-hole pairs per cm3 per second. [2016 : 2 Marks, Set-1]
The minority carrier lifetime in the sample 45. Consider the recombination process via
is 1 μs. In the steady state, the hole bulk traps in a forward biased p-n

concentration in the sample is homojunction diode. The maximum


recombination rate is U max. If the electron
approximately 10x, where x is an integer.
and the hole capture cross-sections are
The value of x is _____.
equal, which one of the following is FALSE?
[2015 : 1 Mark, Set-2]
A. Umax depends exponentially on the
44. Consider a silicon sample at T = 300 K, applied bias.
with a uniform donor density Nd = 5 × 10 16
B. Umax occurs at the edges of the
cm –3
illuminated uniformly such that the depletion region in the device.

optical generation rate is Gopt = 1.5 × 1020 C. With all other parameters unchanged,
Umax increases if the thermal velocity of the
cm–3 S–1 throughout the sample. The
carriers increases.
incident radiation is turned off at t = 0.
D. With all other parameters unchanged,
Assume low-level injection to be valid and
Umax decreases if the intrinsic carrier
ignore surface effects. The carrier lifetimes
density is reduced.
are tpo = 0.1 μs and tno = 0.5 μs [2020 : 1 Marks]

13
www.gradeup.co

14
www.gradeup.co

ANSWER

1. B 2. A 3. C 4. C 5. A 6. A 7. 1.125 8. D 9. C 10. A

11. 0.48 12. A 13. D 14. A 15. A 16. D 17. A 18. A 19. B 20. D

21. 4000 22. 0.013 23. 1.6 24. B 25. B 26. D 27. A 28. C 29. A 30. 13

31. 0.52 32. 1.92 33. 100 34. A 35. B 36. D 37. C 38. A 39. D 40. B

41. D 42. 225.2 43. 14 44. C 45. B

SOLUTION

1. Eg (t) = 1.27 –3.60 ×10–4 T Compared to undoped silicon, the Fermi

For silicon, T = 300 K level of doped silicon goes down by 0.427


Eg (t) = 1.10
eV
hc
2. We know E = hv = hc
 5. E=

E2 
 = 1
E1 2 6.62  10–34  3  103
= = 0.87m
1.42  1.6  10–19
1.1
 E2 = × 1.12eV = 1.41609
0.87 So incident radiation wavelength should be

3. Band gap of Si at any temperature less than 0.87m


(T)= 1.21 – 3.6 × 10–4 T
1
6. ni2  T3/2 e–Eg/kT and i
For T = 300o K ni
Band gap = 1.21 – 3.6 × 10–4 × 300
i  T-3/4 eEg/2kT
= 1.1 eV
ln( i )  ln(T-3/4 eEg/2kT)
4. NA = 4 × 1017 atom/cm3

ni = 1.5 × 1010/cm3 ln( i )  ln(T-3/4 )+Eg/2kT

For P-type semiconductor,


ln( i )  ln(1/T)+Eg/2kT
3/4

NA
Ef –Ev = KT In y
mx + C
Ni

 4  1017   From the graph, Energy band gap of si


= 0.025 In   ev = 0.427ev
 1.5  1010  can be estimated
 

15
www.gradeup.co

hc
7. E= 12.

6.6  10–34  3  108


λ= = 1.125 μm
1.1  1.6  10–19
8. Terminal A will be less reverse and
terminal B will be more reverse biased
because VA< VB.

13. Phosphorus is a pentavalent atom,


therefore one free electron after making
four bonds with Si atoms, thus making
doping n–type
As reverse bias will increase, energy levels 14. Abundance of silicon on the surface of the
will move down. Therefore, height of earth makes use of it in semiconductor
energy level towards terminal A will be technology.
higher and height of energy toward 15. Boron is an acceptor impurity high
terminal B will be lower. concentration of which makes p substrate. +

9. The concentration of doping is not uniform 16. The oxidation rate is zero as the existing
throughout the semiconductor, thus to oxide prevents further oxidation.
maintain equilibrium internal electric field 17. Si acts as p-type dopant in As sites

is generated due to which the band of the Si acts as n-type dopant in Ga Sites.

semiconductor is varying with slope - dn(x)


18. Jn(x) = qun n(x)E(x) + qDn
dx
0.1eV/cm. Drift term
Diffusion term
The generated electric field opposes the
For minority carrier current, low level
diffusion of carriers due to concentration
injection, n(x) is very less.
gradient and thus there well be no current
d
i.e., negligible but of it is significant
flow inside the semiconductor. dx
10. Donor energy level close to conduction Hence, the current is essentially due to
band. So, Intrinsic semiconductor doped diffusion term.
with pentavalent atoms to form n-type 19. Lorentz force, F = q (V  B) = –e (VB)
semiconductor.
ax  az
k
11.  L = The n-type carriers will be carried towards
NA
back face. Thus clearly an electric field
Lmin α λ
along negative y-axis, i.e. in the direction
Lmin1  156nm
= 1 = =0.48
Lmin2 2 325nm of B  V

16
www.gradeup.co

dp
p VT = pp
dx

VT dp
=
p dx

p  NA

VT dNA  d
=  = VT In N (x)
NA dx dx  A

log10 x1 =1 μm
20. Drift current, J = σE = (nμn + pμp) qE
 x1 = 101 μm = 0.001 cm
Hence it depends on carrier concentration
log10 x2 = 2μm
and electric field.
 x2 = 102 μm = 0.01 cm
21. Given q = 1.6 ×10–19;
In (1014) = 32.23
kJ
= 2.5 mV, In (1016) = 36.84
q
μn = 100 cm2/v–s  36.84 – 32.23 
ε = 0.026   = 0.0133kV/cm
 0.01 – 0.001 
From Einstein relation,
Hence, the magnitude of electric field in
Dn kJ
=
n q the semiconductor due to non-uniform

 Dn = 25 mV × 1000 cm2/v–S doping is 0.0133 kV/cm.

= 25 cm2/s V 5
23. E = = = 5 × 104 V/cm
d 10–4
Diffusion current Density
dn 107 – 0
J = qDn = 1.6×10–19× 25 × 1 × 1021 Slope of the curve, (m) = = 20
dx 5  105

= 4000 A/cm2  y = mx 
 
22. The doping distribution in P-type   m = y 
 x
semiconductor (in log scale) is shown
Now Vd = 20 × E = 20 × 5 × 104 = 106
below in the figures.
V/cm
and J = nevd = 1 × 1016× 1.6 × 10–19 ×106
= 1.6 × 103 A/cm2 = 1.6 kA/cm2
24. Conductivity, σ = e (NDμn + NA μp)
For, n –type silicon sample, ND>>NA
Applying the current density equation
Then, σ ≈ eNDμn
J=Jdrift + JDiff
 1
or, ND = =
dp en en
0 = −qDp + qpp
dx
1
dp = = 1 × 1016
qDp = qpp 0.5  1.6  10–19  1250
dx

17
www.gradeup.co

n 31. For donor type impurities,


25. Since, =3
p Conductivity

and NA = ND σN = Nn qμn= 1016 × 1.6 × 10–19 ×1200


= 1.92 Ω/ cm
A NA P  1
= = P = Resistivity
B NDa e 3
1 1
qnu n ρ= = = 0.52 Ω – cm
26. Ratio = = N 1.92
qni (u + p )  p 
ni 1 + 
 u  32. As per the graph mobility of electrons at

the
4.2  108
= = 20, 000 cm2
1.5  104 (1 + 0.4) concentration 1016/cm3 is 1200
V–s
27. Unit for D : m2/s
cm2
Unit for μ : m2/V –s So, μn= 1200
V–s
 Ratio = V–1 σN = Nn qμn= 1016 × 1.6 ×10–19 × 1200
Alternately = 1.92 S cm–1
dn 33. Electric field inside semiconductor bar,
J = e μnE = eD
dx
E=10V/cm
dn Velocity of electron, v=μE=104cm/sec
[ ]

= dx The average time(in μs) taken by the
D nE
electrons move from one end of the bar to
number of e– 1 meter
=   other end is L/V=100μ sec
cm – volt
number of e
34. Let majority carriers be electrons.
= V–1
ni = 1.5 ×1016, ne = 5 × 1020
28. Given : T = 300 k, VT = 26 mV, μn = 1350
According to mass action law, np = ni2
cm2/V–s, ND = 1016 cm–2 . The sample is in
thermal equilibrium. Hence, at any ni2 (1.5  1016 )2
or p= =
n 5  1020
distance from x = 0 dielectric field will be
= 4.50 ×1011/m3
constant.
35. np = ni2
V 1
E= = = 106 v/m=10 kV/cm.
d 1  10–6 where ni = intrinsic concentration,
29. Electron drift current density, Nd = doping concentration for a n-type

Jn = ND. q. μn.E. material

Jn = 1016 ×1.6 ×10–19×1350 ×106 n  Nd

= 2.16 × 104 A/cm2. ni2


p=
Nd
30. From Einstein relation,
DP 1
=
kJ p 
P q Nd

where p = Minority carrier concentration


 DP = 26 mV × 500 cm2/v–s = 13 cm2/s

18
www.gradeup.co

36. We know that at a particular temperature  = 1.04 ×10–12 F/cm

np = ( ni2 ) (constant) xn NA 9  1016


= =
xp ND 1  1016
where, n = electron concentration
p = hole concentration xn = 9xp .....(1)

By doping acceptor impurities with a Total Depletion width,

concentration of NA per cm2 xn + xp = 3 μm

p  NA 9xp +xp = 3 μm
xp = 0.3 μm
 NA . n = ni2
Maximum Electric field,
n2
n= i qNA Xp
NA E=

37. In a N-type silicon crystal at room
1.6  10–19  9  1016  0.3m
temperature =
1.04  10–12
N ~ND
= 4.15 ×105V/cm
And by mass action law
41. A P – type sample is illuminated with light,
n2 that generates excess carriers.
P= i
ND
Here majority carriers are holes since
(1.5 10 )
10 2
sample is of P – type.
P= = 5.625cm−3
4 1019 When light falls on the sample minority
and n>>p carriers will be generated. As the minority
So, The concentration of Dopant atoms in carrier concentration increases probability
n–type silicon is 4 × 10 19
cm –3
of recombination increases
38. Electron concentration, 42. P = NA – ND = 1 × 1018 – 1×1013

ni2 vin (1.5  1010 )2 0.3/26mv = 9.99 × 1017


n= e / VT = e
NA 1  1016 ni2 (1.5  1010 )
η= = = 225.2/cm3
= 2.3 × 109/cm3 P 9.99  1017
39. ND = 2.25 ×1015 Atom/cm3 43. The concentration of hole le-electron pair
ni = 1.5 ×1010/cm3 in 1 μsec = 1020 × 10–6 = 1014/cm3
Since complete ionization taken place, So, the power of 10 is 14.
no = ND = 2.25 ×10 /cm 15 2
X = 14

ni2 (1.5  1010 )2 44. The hole Concentration


Po = = = 1 × 105/cm3
n0 15 Pn(t) = Pno + Pn(0) e–t/tp
2.25  10
40. Given NA = 9 × 1016/cm3 at low level injection

ND = 1 × 1016/cm3  Pno (inflective)

Total depletion width Pn(0)


 G=R =
x = xn + xp = 3 μm n
o

19
www.gradeup.co

Pno(0) = Gopt × no So, the hole concentration at t = 0 and at t


= 0.3 μs are 7.5 × 1013 cm–3 and 3.75
= 1.5 ×1020 ×0.5×10–6= 7.5 ×1018/cm3
×104 cm–3 respectively.
At t = 0
45. Umax occurs at the edges of the depletion
0.3
 P(t) = Pn(0)e = 3.73×1011/cm3
0.1 region in the device.

❖❖❖❖

20
www.gradeup.co

21
2
www.gradeup.co

Diodes

Analysis of Previous GATE Papers

2017 Set 1

2017 Set 2

2016 Set 1

2016 Set 2

2016 Set 3

2015 Set 1

2015 Set 2

2015 Set 3
2020

2019

2018
Year →
Topic
Topic ↓

MCQ Type 1 1
1 Mark
Numerical Type
Diodes MCQ Type 1
2 Marks
Numerical Type 1 1 1 1 1
Total 2 3 3 2 2 2
MCQ Type 1 1
1 Mark
Numerical Type
P-N Junction Diode MCQ Type 1 2
2 Marks
Numerical Type 1 2
Total 2 2 1 5 4
MCQ Type
1 Mark
Numerical Type
Zener Diode MCQ Type 1
2 Marks
Numerical Type
Total 2
MCQ Type
1 Mark
Numerical Type
LED & Solar Cell MCQ Type 1
2 Marks
Numerical Type 1
Total 2 2
MCQ Type 1 1
1 Mark
Numerical Type
Tunnel Diode,
MCQ Type 1
Laser and Photodiode 2 Marks
Numerical Type 1
Total 2 1 2 1

22
www.gradeup.co

DIODES 3. An abrupt pn junction (located at x = 0) is


uniformly doped on both p and n sides.
1. Consider a region of silicon devoid of
The width of the depletion region is W and
electrons and holes, with ionized donor
the electric field variation in the x-direction
density of
is E(x). Which of the following figures
Nd+ = 1017 cm–3. The electric field at x = 0
represents the electric field profile near the
is 0 V/cm, and he electric field at x = L is pn junction?
50 k V/cm in the positive x direction.
Assume that the electric field is zero in the
y and z-directions at all points.

Given g = 1.6 ×10–19 Coulomb,


ε0 = 8.85 ×10–14 F/cm, ε, = 11.7 for
silicon, the value of L in nm in ___.
[2016 : 2 Marks, Set-2]
2. As shown, two Silicon (Si) abrupt p-n
junction diodes are fabricated with uniform
donor doping concentrations of ND1 = 1014
cm–3 and ND2 = 1016 cm-3 in the n-regions
of the diodes, and uniform acceptor doping
concentration of NA1 = 1014 cm–3 and NA2 =
1016 cm–3 in the p-regions of the diodes,
respectively. Assuming that the reverse
bias voltage is >> built-in potentials of the
diodes, the ratio C2/C1 of their reverse bias
capacitances for the same applied reverse
bias, is ___. [2017 : 2 Marks, Set-2]
4. In a p-n junction diode at equilibrium,
which one of the following statements is
NOT TRUE?
A. The hole and electron diffusion current

[2017 : 2 Marks, Set-1] components are in the same direction.

23
www.gradeup.co

B. The hole and electron drift current


components are in the same direction.
C. On an average, holes and electrons drift
in opposite direction.
D. On an average, electrons drift and
diffuse in the same direction.
[2018 : 1 Mark]
5. A p-n step junction diode with a contact
potential of 0.65 V has a depletion width of
1 μm at equilibrium. The forward voltage
(in volts, correct to two decimal places) a
which this width reduces to 0.6 μm is ___.
[2018 : 1 Mark]
6. A junction is made between p- Si with
doping density NA1 = 1015 cm–3 and p Si
with doping density NA2 = 1017 cm–3
Given: Boltzmann constant k = 1.38 ×10–
23
J. K-1, electronic charge q = 1.6×10 –19C.
Assume 100% acceptor ionization. [2019 : 1 Mark]
At room temperature-(T = 300 K), the 8. In an ideal p-n junction with an ideality
magnitude of the built-in potential (in factor of 1 at T = 300 K, the magnitude of
volts, correct to two decimal places) across the reverse-bias voltage required to reach
this junction will be ____. 75% of its reverse saturation current,
[2018 : 2 Marks] rounded off to 2 decimal places, is ____ m
7. Which one of the following options V. [k = 1.38 × 10–23 JK–1, h = 6.625 ×10–
correctly describes the equilibrium band 34
J– s, q = 1.602 ×10–19C]
diagram at T = 300 K of a Silicon pnn+ p++ [2019 : 2 Marks]
configuration shown in the figure?
9. A one-sided abrupt pn junction diode has a
+ ++
p n n p depletion capacitance CD of 50 PF at
reverse bias of 0.2 V. The plot of
1/CD2 versus the applied voltage V for this
diode is a straight line as shown in the
below. The slope of the plot is .........
× 1020 F-2V-1

24
www.gradeup.co

12. In an abrupt p-n-junction, the doping


concentrations on the p-side and n-side
are NA = 9 × 1016/cm3 and ND = 1 ×
1016/cm3 respectively. The p-n junction is
reverse biased and the total depletion
width is 3 nm. The depletion width on the
p-side is
A. 2.7 nm B. 0.3 nm
A. –0.47 B. –5.7
C. 2.25 nm D. 0.75 nm
C. –1.2 D. –3.8
[2004 : 2 Marks]
[2020: 2 Marks]
13. Consider an abrupt p-junction. Let Vbi the
P-N JUNCTION DIODE built-in potential of this junction and VR be
the applied reverse bias. If the junction
10. In the figure, silicon diode is carrying a
capacitance (Cj) is 1 pF for Vbi+ VR = 1V,
constant current of 1 mA. When the
temperature of the diode is 20oC, VD is then for Vbi + VR = 4 V, Cj will be

found to be 700 mV. If the temperature A. 4 pF B. 2 pF

rises to 40 oC, VD becomes approximately C. 0.25 pF D. 0.5 pF

equal to [2004 : 2 Marks]


14. A Silicon PN junction at a temperature of
20oC has a reverse saturation current of 10
pico-Amperes (pA). The reverse saturation
current at 40oC for the same bias is
approximately
A. 740 mV B. 660 mV
A. 30 pA B. 40 pA
C. 680 mV D. 700 mV
C. 50 pA D. 60 pA
[2002 : 1 Mark]
[2005 : 1 Marks]
11. At 300 K, for a diode current of 1 mA, a
15. A silicon PN junction diode under reverse
certain germanium diode requires a
bias has depletion region of width 10 μm.
forward bias of 0.1435 V, whereas a
The relative permittivity of Silicon, ε r =
certain Silicon diode requires a forward
11.7 and the permittivity of free space ε0 =
bias of 0.713 V. Under the conditions
8.85 ×10–12 F/m. The depletion
stated above, the closest approximation of
capacitance of the diode per square meter
the ratio of reverse saturation current in
germanium diode to that in silicon diode is is

A. 1 B. 5 A. 100 μF B. 10 μF

C. 4 × 103 D. 8 × 103 C. 1 μF D. 20 μF

[2003 : 2 Marks] [2005 : 2 Marks]

25
www.gradeup.co

26
www.gradeup.co

16. In the circuit shown below, the switch was A. Junction Capacitance
connected to position 1 at t< 0 and at t = B. Charge Storage Capacitance
0, it is changed to position 2. Assume that C. Depletion Capacitance
the diode has zero voltage drop and a D. Channel Length Modulation
Storage time ts. For 0 < t ≤ ts VR is given [2008 : 1 Mark]
by (all in Volts) 20. The built-in potential of the junction_____

ND = 1017 cm−3
Given W = 0.1m
WP = 1m

A. is 0.70 V
B. is 0.76 V
C. is 0.82 V
D. cannot be estimated from the data
A. VR = –5 B. VR< + 5 given
C. 0 ≤ VR < 5 D. –5 < VR< 0 [2009 : 2 Marks]
[2008 : 2 Marks] 21. The peak electric field in the device
17. In a p+ – n junction diode under reverse is______
bias, the magnitude of electric field is ND = 1017 cm−3
maximum at Given W = 0.1m
A. the edge of the depletion region on the WP = 1m
p–side A. 0.15 MV-cm–1, directed from p-region to
B. the edge of the depletion region on the n-region
n-side B. 0.15 MV-cm–1, directed from n-region to
C. the p+ –n junction p-region
D. the centre of the depletion region on the C. 1.80 MV-cm–1, directed from p-region
n-side ton-region
[2007 : 1 Mark] D. 1.80 MV-cm–1, directed from n-region to
18. A p+ – n junction has a built-in potential of p-region
0.8 V. The depletion layer width at a [2002 : 2 Marks]
reverse bias of 1.2 V is 2 nm. For a reverse 22. Compared to a p-n junction with NA = ND =
bias of 7.2 V, the depletion layer width will 1014/cm3, which one of the following
be statements is TRUE for a p-n junction with
A. 4 μm B. 4.9 μm NA = ND = 1020/cm3?
C. 8 μm D. 12 μm A. Reverse breakdown voltage is lower and
[2007 : 2 Marks] depletion capacitance is lower.

19. Which of the following is NOT associated B. Reverse breakdown voltage is higher

with a p-n junction? and depletion capacitance is lower.

27
www.gradeup.co

C. Reverse breakdown voltage is lower and D. extraction, and subsequent drift and
depletion capacitance is higher. recombination of minority carriers.
D. Reverse breakdown voltage is higher [2013 : 1 Mark]
and depletion capacitance is higher. 26. Consider an abrupt PN junction (at T = 300
[2010 : 2 Marks] K) shown in the figure. The depletion
23. A silicon PN junction is forward biased with region width xn on the N-side of the
a content current at room temperature.
junction is 0.2 μm and the permittivity of
When the temperature is increased by
Silicon (εsi) is 1.044 ×10–12 m. At the
10 C, the forward bias voltage across the
o
junction, the approximate value of the
PN junction
peak electric field (in kV/cm) is _____.
A. increases by 60 mV
B. decreases by 60 mV
C. increases by 25 mV
D. decreases by 25 mV
[2011 : 1 Mark] [2014 : 2 Marks, Set-2]
24. The i-v characteristics of the diode in the
27. The donor and acceptor impurities in an
circuit given below are
abrupt junction silicon diode are 1 ×1016
 v – 0.7
 A, v  0.7V cm–3 and 5 × 1018 cm–3, respectively.
i =  500
0A, v  0.7V Assume that the intrinsic carrier

concentration in silicon ni =
kT
1.5 ×1010 cm–3 at 300K, = 26 mV and
q

the permittivity of silicon εsi = 1.04 ×10–


12
F/cm. The built-in potential and the
The current in the circuit is
depletion width o the diode under thermal
A. 10 mA B. 9.3 mA
equilibrium conditions, respectively, are
C. 6.67 mA D. 6.2 mA
A. 0.7 V and 1 × 10–4 cm
[2012 : 1 Mark]
B. 0.86 V and 1 × 10–4 cm
25. In a forward biased pn junction, the
sequence of events that best describes the C. 0.7 V and3.3 × 10–5 cm

mechanism of current flow is D. 0.86 V and 3.3 ×10–5 cm

A. injection, and subsequent diffusion and [2014 : 2 Marks]

recombination of minority carriers. 28. A region of negative differential resistance

B. injection, and subsequent drift and is observed in the current voltage


generation of minority carriers. characteristics of a silicon PN junction if
C. extraction, and subsequent diffusion A. both the P-region and the N-region are
and generation of minority carriers. heavily doped

28
www.gradeup.co

B. the N-region is heavily doped compared A. The left side of the junction is n-type
to the P-region and the right side is p-type
C. the P-region is heavily doped compared B. Both the n-type and p-type depletion
to the N-region regions are uniformly doped
D. an intrinsic silicon region is inserted C. The potential difference across the
between the P-region and the N-region
depletion region is 700 mV
[2015 : 1 Mark, Set-1]
D. If the p-type region has a doping
29. The built-in potential of an abrupt p-n
concentration of 1015 cm–3, then the
junction is 0.75 V. If its junction
doping concentration in the n-type region
capacitance (Cj) at a reverse bias (VR) of
will be 1016 cm–3
1.25 V is 5 pF, the value of Cj, (in pF)
[2015 : 2 Marks, Set-3]
when VR = 7.25 V is ____.
[2015 : 2 Marks, Set-1] 32. Consider a silicon p-n junction with a

30. For a silicon diode with long P and N uniform acceptor doping concentration of

regions, the accepter and donor impurity 1017 cm–3 on the p-side and a uniform
concentrations are 1 ×1017 cm-3 and 1 donor doping concentration of 10 16 cm–3 on
×1015 cm-3, respectively. The lifetimes of the n-side. No external voltage is applied
electrons in P region and holes in N region to the diode.
are both 100 us. the electron and hole Given: kT/q = 26 mV, ni = 1.5 ×1010 cm3,
diffusion coefficients are 49 cm2/s and 36 εεi = 12 ε0, ε0 = 8.85 × 10–14 F/m, and
cm /s, respectively. Assume kT/q =26 mV,
2
q = 1.6 ×10–19C.
the intrinsic carrier concentration is 1
The charge per unit junction area (nC cm–2)
×10 10
cm 3
and q = 1.6 ×10 C. When a
19
in the depletion region on the p-side is
forward voltage of 208 mV is applied
____.
across the diode, the hole current density
[2016 : 2 Marks, Set-1]
(in nA/cm2) injected from P region to N
33. Consider avalanche breakdown in a silicon
region is _____.
[2015 : 2 Marks, Set-1] p+n junction. The n-region is uniformly

31. The electric field profile in the depletion doped with a donor density ND. Assume

region of a p-n junction in equilibrium is that breakdown occurs when the

shown in the figure. Which one of the magnitude of the electric field at any point
following statements is NOT TRUE? in the device becomes equal to the critical
field Ecrit. Assume Ecrit to be independent of
ND. If the built-in voltage of the p+n
junction is much smaller than the
breakdown voltage, VBR, the relationship
between VBR and ND is given by

29
www.gradeup.co

A. VBR × ND = constant

B. ND × VBR = constant

C. ND × VBR = constant
D. ND/VBR = constant
[2016 : 2 Marks, Set-2]
A. 24 V to 36 V B. 22 V to 34 V
34. The I-V characteristics of three types of
C. 20 V to 28 V D. 18 V to 24
diodes at the room temperature, made of
[2019 : 2 Marks]
semiconductors X, Y and Z, are shown in
36. Consider the following assertions.
the figure. Assume that the diodes are
S1: For Zener effect to occur, a very abrupt
uniformly doped and identical in all
junction is required
respects except their materials. If EgX, EgY
S2: For quantum tunneling to occur, a very
and EgZ are the band gaps of X, Y and Z,
narrow energy barriers is required. Which
respectively, then
of the following is correct?
A. Only S2 is true.
B. S1 nd S2 are both true but S2 is not a
reason for S1.
C. S1 and S2 are both true and S2 is a
reason for S1.
D. Both S1 and S2 are false.
A. EgX> EgY> EgZ [2008 : 2 Marks]
B. EgX = EgY = EgZ 37. A Zener diode, when used in voltage
C. EgX< EgY< EgZ stabilization circuits, is biased in
D. no relationship among these band gaps A. reverse bias region below the
exists breakdown voltage
[2016 : 1 Marks, Set-3] B. reverse breakdown region.
C. forward bias region
ZENER DIODE
D. forward bias constant current mode.

35. In the circuit shown, the breakdown [2011 : 1 Mark]

voltage and the maximum current of the


LED & SOLAR CELL
Zener diode are 20 V and 60 mA,
respectively. The values of R 1 and RL are 38. A particular green LED emits light of

200 Ω and1k Ω, respectively. What is the wavelength 5490 A. The energy band gap

range of Vi that will maintain the Zener of the semiconductor material used there is

diode in the break down state? (Plank’s constant = 6.626 ×10–34 J-s)

30
www.gradeup.co

A. 2.26 eV B. 1.98 eV TUNNEL DIODE, LASER & PHOTODIODE


C. 1.17 eV D. 0.74 eV
42. Choose proper substitutes for X and Y to
[2003 : 2 Marks]
make the following statements correct.
39. Red (R), Green (G) and Blue (B) Light
Tunnel diode and Avalanche photodiode
Emitting Diodes (LEDs) were fabricated
are operated in X bias and Y bias
using p-n junctions of three different
respectively
inorganic semiconductors having different
A. X : reverse, Y : reverse
band-gaps. The built-in voltages of red,
B. X : reverse, V : forward
green and blue diodes are VR, VG and VB,
C. X : forward, Y : reverse
respectively. Assume donor and acceptor
D. X : forward, Y : forward
doping to be the same (NA and ND)
[2003 : 1 Mark]
respectively in the p and n sides of ali the
43. Match items in Group-1 with items in
three diodes.
Group-2, most suitably.
Which one of the following relationship
Group-1
about the built-in voltages is TRUE?
P. LED
A. VR>VG>VB B. VR< VG< VB
Q. Avalanche
C. VR = VG = VB D. VR> VG< VB
R. Tunnel diode
[2018 : 2 Marks]
S. LASER
40. A solar cell of area 1.0 cm2, operating at
Group-2
1.0 sun intensity, has a short circuit
1. Heavy doping
current of 20 mA, and an open circuit
2.Coherentradiation Photodiode
voltage of 0.65 V. Assuming room
3.Spontaneousemission
temperature operation and thermal
4. Current gain
equivalent voltage of 26 mV, the open
A. P - 1; Q – 2; R – 4; S–3
circuit voltage (in volts, correct to two
B. P – 2; Q –3; R – 1; S–4
decimal places) at 0.2 sun intensity is ___.
C. P – 3; Q – 4; R –1; S–2
41. The quantum efficiency (η) and
D. P – 2; Q – 1; R – 4; S–3
responsively (R) at a wavelength λ (in μ
[2003 : 2 Marks]
m) in a p-i-n photo detector are related by
44. The values of voltage (VD) across a tunnel-

A. R = diode corresponding to peak and valley
1.24
currents are V and Vv respectively. The

B. R = range of tunnel-diode voltage VD for which
  1.24
the slope of its I- VD characteristics
1.24  
C. R = negative would be

A. VD<0 B. 0 ≤ VD< VP
1.24
D. R = C. VP ≤ VD< Vv D. VD ≥ Vv
 
[2006 : 1 Mark]
[2019 : 2 Marks]

31
www.gradeup.co

32
www.gradeup.co

45. Find the correct match between Group 1 48. The figure shows the I-V characteristics of
and Group 2 a solar cell illuminated uniformly with solar
Group 1 light of power 100 mW/cm2. The solar cell
E. Varactor-diode has an area of 3 cm2 and a fill factor of

F. PIN diode 0.7. The maximum efficiency (in %) of the

G. Zener diode device is ____.

H. Schottky diode
Group 2
1. Voltage reference
2. High-frequency switch
3. Tuned circuits
4. Current controlledattenuator
A. E–4, F–2, G–1, H–3
[2016 : 1 Mark, Set-3]
B. E–2, F–4, G–1, H–3
49. An n +
–n Silicon device is fabricated with
C. E–3, F–4, G–1, H–2
uniform and non-degenerate donor doping
D. E–1, F–3, G–2, H–4
concentrations of ND1 =1 ×1018 cm-3 and
[2006 : 2 Marks]
ND2 = 1 ×1015 cm–3 corresponding to the
46. Group I lists four types of p-n junction n+ and n– regions respectively. At the
diodes. Match each device in Group I with operational temperature T, assume
one of the options in Group II to indicate complete impurity ionization, kT/q = 25
the bias condition of that device in its mV, and intrinsic carrier concentration to
normal mode of operation. be n. = 1 ×1010 cm–3. What is the
Group I Group II magnitude of the built-in potential of this
P. Zener Diode 1. Forward bias device?
Q. Solar cell 2. Reverse bias A. 0.748 V B. 0.460 V
R. LASER diode C. 0.288 V D. 0.173 V
S. Avalanche Photodiode [2017 : 1 Mark, Set-1]
A. P–1, Q–2, R–1, S–2 50. For a particular intensity of incident light
B. P–2, Q–1, R–1, S–2 on a silicon pn junction solar cell, the

C. P–2, Q–2, R–2, S–1 photocurrent density (JL) is 2.5 mA/cm2

D. P–2, Q–1, R–2, S–2 and the open-circuit voltage (Voc) is 0.451

[2007 : 2 Marks] V. Consider thermal voltage (VT) to be 25

47. When the optical power incident on a mV. If the intensity of the incident light is

photodiode is 10 μ W and the responsively increased by 20 times, assuming that the


temperature remains unchanged, V0c (in
is 0.8 A/W, the photocurrent generated (in
volts) will be ____.
μA) is ____.
[2017 : 2 Marks, Set-2]
[2014 : 1 Mark, Set-1]

33
www.gradeup.co

51. A pn junction solar cell of area 1.0 cm 2, 10-19 C. The average optical generation
illuminated uniformly with 100mW cm-2, rate (in cm-3s-1) is
has the following parameters: A. 1.04 × 1019. B. 0.84 × 1019.
Efficiency = 15%, open circuit voltage = C. 5.57 × 1019. D. 83.60 × 1019.
0.7 V, fill factor = 0.8, and thickness = [2020 : 2 Marks]
200 um. The charge of an electron 1.6 ×

ANSWER

1. 32.36 2. 10 3. A 4. D 5. 0.41 6. 0.119 7. C 8. 35.87 9. * 10. A

11. C 12. B 13. D 14. B 15. B 16. A 17. D 18. A 19. D 20. A

21. B 22. C 23. D 24. D 25. A 26. 30.66 27. D 28. A 29. 2.5 30. 28.6

31. C 32. 4.836 33. C 34. C 35. A 36. B 37. B 38. A 39. B 40. 0.61

41. A 42. C 43. C 44. C 45. C 46. B 47. 8 48. 21 49. D

50. 0.526 51. B

SOLUTION

q 2s VR  2 
1. |ε| = ND XN
0 q  14 
= 10  = 10
2s VR  2 
1.69  10–19  16 
50 × 103 =  1017  XN q 10 
–14
8.85  10  11.7
3.
(Since  = 0  )

On solving XN = 3.2356×10–6 cm = 3.236


× 10–8 m
 XN = L = 32.36 nm
Hence, the value of L is 32.36 nm.

2s Vbi  NA + ND 
2. W=  
q  NAND 

Under reverse bias condition,


A
C= ➔ C  1/w
W
C2/C1=W1/W2

34
www.gradeup.co

The concentration of holes on the p-side is


much greater than that in the n-side, a
very large hole diffusion current tends to
flow across the junction from the p to the n
material.
Hence an electric field must build up across
the junction in such a direction that a hole
drift current will tend to flow across the
junction from n-side to p-side in order
to counterbalance the diffusion current.
After the depletion region is formed and an
electric field is a set-up; holes on the p-
side and electrons on the n-side move
away from the junction.
However, diffusion current components
(before the formation of the depletion
region) and drift current components (after
the formation of the depletion region) are
4.
in the same direction.
Electron drift and diffuse currents are in
the opposite direction.
5. We have the formula for Width of depletion
region in a pn junction, and from that
In a p-n junction at equilibrium, i.e. no
relation between width and voltage can be
bias
directly applied to get the answer as
The hole electrons flow (drift, diffusion)
follows,
directions are as follows (convention based
2  1 1 
on the above PN diagram) Wdep =  +  ( V − VAK )
q  NA ND  bi
Directions
Particle type and flow Current
of flow (0.65 − VAK ) 0.6m
= = 0.6
1) Hole diffusion → → 0.65 1m

2) Hole drift ← ← VAK


1− = 0.36
3) Electron diffusion ← → 0.65
4) Electron drift → ← VAK = 0.65(1 – 0.36) = 0.65 × 0.64
= 0.416 V

35
www.gradeup.co

6. Given: Doping density at p side, 9. Insufficient data


NA1 = 1015 cm–3 We know that

Doping density at P-side,


NA2 = 1017 cm–3
VT = 26 mV.

N 
Built in potential = VT In  A2 
 NA1 

 1017 
= 26 × 10–3 In  
 1015  Reverse bias voltage given VR = 0.2V
 
V0 = applied voltage.
= 26 ×10–3 ×4.605 = 0.119 V
7.

Energy band diagram of PN junction diode.


value of V0 is not given so
For p n n+p++ configuration,
slope will not to be calculated
Fermi-energy level (Ef) is more closer to EV
10. Id = Io (eVD/ηVT - 1)
compare to EC for P-type of semiconductor
By considering , then
Fermi-energy level (Ef) is more closer to Ec
compare to Ev for n-type of semiconductor
= eVD/ηVT
 V  
8. I = I0 exp  R  – 1
  VT   Id is constant according to question,

–3  V   VD  T
 I0 = I0 exp  R  – 1
4   VT  

 –V  –3 1
 exp  R  = +1 =
 VT  4 4

–VR 1
 = n  .
VT 4
11. For Si, I = I0,Si (1–e 0.718/ηVr
) and for Ge,
1 1
 – V R = VT n   =25.9 × n   mV I = I0. ge (1–e0.1435/ηVr)
4 4
Hence for equal currents, ηSi = 2; ηGe = 1
 |–VR| = 35.87 mV

36
www.gradeup.co

I0,Si 1 – e–0.1435/VT r 0 A
=  e–0.2155/Vr 15. CT =
I0,Ge 1 – e–0.359/VT d

I0,Ge CT  11.7  8.85  10–12


 = r 0 = = 10μF
or ≈e (0.2155/26×10–3)
= 4 ×10 3
A d 10  10–6
I0,Si
16.
12. Concentration on p – side (𝑵𝑨 )
= 𝟗 × 𝟏𝟎𝟏𝟔/𝒄𝒎𝟑
Concentration on n – side (𝑵𝑫)
= 𝟏 × 𝟏𝟎𝟏𝟔/𝒄𝒎𝟑
𝑾 = 𝑾𝒏 + 𝑾𝒑 − − − − − − − −(𝒊)
𝑵𝑫𝑾𝒏 = 𝑵𝑨𝑾𝒑 − − − − − − − −(𝒊𝒊)
Find WP from the above Eqns.
𝑵𝑨𝑾𝒑 = 𝑵𝑫𝑾𝒏 The injected charge carriers across the

= (𝑾 − 𝑾𝒑)𝑵𝑫 Or, junction cannot be removed


𝑾𝒑 (𝑵𝑨 + 𝑵𝑫) = 𝑵𝑫𝑾 instantaneously, but takes certain time
𝒐𝒓, 𝑾𝒑 = 𝑾(𝑵𝑫/(𝑵𝑨+ 𝑵𝑫)) (ts). Thus for time ts, diode will remain
= 𝟑 ×( 𝟏 × 𝟏𝟎𝟏𝟔 /(𝟏 × 𝟏𝟎𝟏𝟔 +𝟗 × 𝟏𝟎𝟏𝟔) forward biased and will conduct,
= 𝟑 × 𝟏𝟎 −9
= 0.3 nm Since voltage drop across diode is zero,
13. Junction capacitance hence
A VR = –5V for 0 ≤ t < ts
Cj =
W

2
and W = Vj
qND

ND = donor density and


Vj = function potential
17. For PN junction
were

Now, Cj = Vj–½

C j1 Vj2
or, =
C j2 Vj1

Vj1
 C j2 = C j1 = .5PF
Vj2

14. Reverse saturation current, at


temperature, T2,
T2 – T1
I (T2) = I (T1) × 2^[ ]
10
or, I (40oC) = I (20oC) × 220/10 = 10 × 4
= 40 pA So maximum E field will be at the junction.

37
www.gradeup.co

38
www.gradeup.co

18. Depletion capacitance, 23. For Si forward bias voltage change by


Cd  V–1/2 – 2.5 m V/oC
A For 10oC increases,
 V–1/2
d
change = – 2.5 × 10 = –25mV
 d  V+1/2
½ ½
d2  V2  V  24. Using KVL around the loop, we get
 =   d2 = d 1  2 
d1  V1   V1 
10–1000i –V = 0 .... (1)
½
 7.2 + 0.8  V – 0.7
= (2μm)   = 4μm Given i=
 1.2 + 0.8  500
19. Channel length modulation is related to or, V = 500i + 0.7 ...(2)
FET. Putting equation (2) in equation (1), we
20. From change neutrality equation,
have
NAWP = ND WN
10 – 1000i –(500i + 0.7) =0
NDW 1017  0.1
NA = = = 1016 cm–3 10 – 0.7
WP 1 or, i= = 6.2 ×10–3 A = 6.2mA
1500
Built in potential,
25. Due to application of voltage (forward bias
N N 
Vbi = VT Iη  A D  minority carrier are injected from either
 2 
 i 
side of diode one subsequent diffusion
 10  10  16 17
takes place and finally recombination.
= 26 × 10–3. lη  
10 2
 (1.4  10 )  Injection and subsequent diffusion and
Vbi = 0.7V recombination of minority carriers
21. The electric field will be directed from n to
26. Given Xn = 0.2 μm,
p. The maximum electric field occurs at the
si = 1.044 ×10–12 F/μn
junction.
Hence, ND = 1016/cm3

qNDW qNA WP Peak Electric field,


Emax = =–
  qNDxn 1.6  10–19  1016  0.00002
E= =
1.6  10–19  1017  0.1  10–4  1.044  10–12
=–
8.85  10–14  12 = 30.66 KV/cm
Emax = – 0.15 MV/cm.
NAND
27. Vbi = VT In = 26 mv
22. Given: p-n junction with ni2
NA = ND = 104/cm3
 5  1018  1  1016 
For NA = ND = 1020/cm3 In   = 0.859 V
10 2
 (1.5  10 ) 
Since depletion width and break down
voltage decreases and depletion capacitor 2s Vbi  NA + ND 
W=   =3.3 ×10 cm
–5
increases. q  NAND 

39
www.gradeup.co

28. n Tunnel diode, both the P region and N V = 26mv / 1


region are heavily doped. It shows the
1.6  10–19  (1010 )2  36
Jp = (e208/26–1)
negative differential resistance in the 10 15 –2
 6  10
current (–) voltage characteristics. = 28.6×10 –9
A/cm2
Jp = 28.6 nA/cm2.
31. As per option (c) we try to find the
potential across the depleti)on region.
This can be done by finding the area of
the given field variation
i.e., Built in potation

1 = (𝟏/𝟐) × (𝟏. 𝟏 × 𝟏𝟎−𝟔) × 𝟏𝟎𝟔𝒗/𝒎


29. Cj α
Vb1 + VR = 0.55 volts
But in the option, it is given 0.7 v
C2j Vb1 + VR
1
= So, the option is (c) which is not true
C1j Vb1 + VR
2
32. From given data
2 C1j
C2j = C1j = = 2.5 pF
8 2
30. Diode current, ID =Io (eVD/ηVT - 1) .... (i)
qAqDpPo qAqDnnpo
where, I0 = + .... (ii)
LP L

ID
Current density, j = ..... (iii)
A
Using eq. (i), (ii),
εsi = 12 ε0= 12 × 8.85 × 10–14 F/m
qDnnpo
J=
LP
e (
qDPPno v /v
–1 + ) Ln
(e v /v
–1 ) ND = 1016 cm–3= 1022 m–3
NA = 1017 cm–3= 1023 m–3
Electron diffusion current density injected
kT N N 
from n-region to p-region is: V0 = n A D
q  ni2 
qDnnpo
Jn =
Ln
(e v /v
)
–1
 1023  1022 
= 0.026ℓn   = 0.757 V
( )
2
Hole diffusion current density injected from  1.5  1016 
 
p-region or n-region is:
2  1 1 
qi2.Dp v /v W= V0  +
JP =
qDP .pno v /v
LP
e (
–1 =
NDLP
e )
–1 ( ) q

 NA ND 

 pno = ni2 / ND. 2  12  8.85  10–14  1 1 


=  0.757  + 
–19 23
1.6  10  10 1022 
Diffusion length,
= 3.325 ×10–8 m = 3.325 ×10–6 cm
LP = PDP = 100  10–6  36 = 0.06 cm.

40
www.gradeup.co

ND 20 – 0
Wp =  IL = mA = 20mA
NA + ND 1
At node A
1022
=  3.325  10–8
1022 + 1023 Now, IR = IZ + IL

= 3.023 ×10–9 m = 3.023 ×10–7 cm Now, Vimin = IRmin R1 + VZ

Q IRmin = 0 + I2 = 20mA.
Q = WP NA eA  = WP NA
A Vimin = (20 ×10–3 ×200) + 20
Q’= 3.023 × 10–7 ×1017 ×1.6 × 10–19 = 4V + 20v = 24v
= 4.836 ×10–9 cm–2 = 4.836 nC-cm–2 and Vimax = IRmax + R1 + VZ
Hence, the charge per unit function area Now, IRmax = IZmax + IL = 60mA + 20mA
(nC-cm–2) in the depletion region on the p- = 80mA
side is 4.836 nC-cm–2 Vimax = 80 ×10–3 ×200 + 20 = 16V + 20V
33. In any type of PN junction = 36V
1 Vimax = 24V and Vimax = 36V
VBR α
DopingConcentration 36. S1 → True, in linearly graded function

1 E2 avalanche breakdown occurs.


i.e. VBR α (or) VBR =
ND 2qND S2 → For tunneling phenomenon to occur
(Here VBR = breakdown voltage, narrow energy barrier is required to charge
ND = donor density) tunnel from filled state in one side to
 VBR × ND → is a constant empty state in other side.
34. From the given fig: Also, tunneling is independent on the
doping profile of junction.
37. Zener Diode I-V Characteristics

VrZ> VrY> VrX


Where Vr is cut-in voltage
So, EgZ> EgY> EgX
35.

From the I-V characteristics curve above,


we can see that the zener diode has a
region in its reverse bias characteristics of

41
www.gradeup.co

almost a constant negative voltage At 1 sum intensity


regardless of the value of the current Short circuit → ISC = IS1 = 20 mA
flowing through the diode and remains Open circuit case
nearly constant even with large changes in Id = IS1
current as longs as the zener diodes  vocl 
 
current remains between the breakdown I0  e VT − 1  = IS1
current IZ(min) and the maximum current Vor1
VT
rating IZ(max). IS1 I0e
This ability to control itself can be used to
I 
VT ln  S1  = Voc …(1)
great effect to regulate or stabilize a
 I0 
1

voltage source against supply or load


Is ∝ sun intensity
variations and this is accomplished when
∴ Is2 = (Is1)(0.2)
using zener diode in reverse breakdown
Is2 1
=
voltage region. Is1 5

hc 6.626  10–34  3  108 Similar to equation (1)


38. E = =
 5490  10–10
I 
VOC2 = VT ln  s2   (2)
= 3.62 ×10–19 Joules
 I0 
In eV, the energy Band gap,
From (1) &(2)
–19
E 3.62  10
Eg = = = 2.26 eV  I   I 
e 1.6  10–19 VOC − VOC = VT ln  s2  − ln  s1  
2 1
  I0   I0  
39. Using concept of VIBGYOR
I  1
Wavelength order of VIBGYOR VOC = VOC + VT ln  s2  = 0.65 + VT ln  
2 1 I
 s1  5
R>G>B
= 0.6081 V
We know E∝ 1 /wavelength.
VOC = 0.61V
Also V ∝ E . So 2

V∝ 1 /wavelength ⇒VR <VG<VB 41. R=ηe/hf

40. Approximate equivalent diode model of a The frequency f of the incident photons is

solar cell related to their wavelength λ and the


velocity of light in air c, by:
f=c/λ−−−−Eq.(1)
Substituting the Eq. (1) in a final
expression for the responsivity is given by:
R=ηeλ/hc

Responsively (R) = = (A/W)
1.24

42
www.gradeup.co

42.
Diode LED Photodiode Tunnel Diode Zener diode Pin Diode Solar Cell Laser Diode
Biasing Forward Bias Reverse bias Forward bias Reverse bias Reverse bias Forward bias Forward bias

43. LED : When an atom absorb extra energy R. Laser diode operate in very high voltage
and goes in excited state, then to return to forward bias to gives population insertion
its normal or ground state it emits extra S. Photo diode operate in reverse bias in

energy (photon) at an undetermined time. avalanche region.

This unpredictable release of photon IP


47. Responsively (R) =
Po
energy by an atom is called spontaneous
emission. Avalanche Photodiode: Current where IP = photo current

IM Po = Incident power
gain, M = >1.
IP IP = R × Po= 8 μA

Tunnel diode : Heavily doped (1:103) or Pmax Pmax


48. Fill factor = =
PT ISC .VOC
1020 cm–3
where Pmax = Maximum power
LASER: coherent radiation takes place.
PT = Theoretical power = Isc. Voc
44.
Pmax
0.7 =
180  10–3  0.5
 Pmax = 63 × 10–3 W
Now Maximum efficiency
Pmax
(ηmax) =
Pin

 63  10–3 W 
45. Varactor diode: used in tuned circuit. =   100  %
 100  10–3 W  3cm2 
PIN diode: due to sandwiched intrinsic  2 
 cm 
semiconductor between two extrinsic Thus the maximum efficiency (in %) of the
semiconductor, it can be used in current device is 21%.
controlled attenuator. N 
49. EC − EF = KT ln  C 
Zener diode : Used as voltage regulator in r+  ND 
 1 
voltage reference circuit,
 ND 
Scotty diode : is the fastest device and Vo  q = KT ln  1 
 ND 
 2 
hence used for high frequency switching.
46. P. Zener diode is used in reverse bias to KT  NDI 
Vo = ln   = 25 mV ln(103)
q  ND 
give fixed zener voltage across it.  2 
Q. Solar cell operates in forward bias. = 0.173 V

43
www.gradeup.co

Another Method:
where
KT  NAND  KT  N 
Vo = ln  = n  D1 
q 2 q   ni  
2
 ni 
= 100 mW.
 N  
 A  

KT  ND1 
= ln   = 0.173V
q  ND2 
 
∴ P0 = 15 mW …(2)
50. Voc = VT. ln (JL/J5);J α light intensity
(1) ⇒
J 
Voc2 –Voc1 = VT. ln  L2 
 JL1  ISC = 0.027A
= 25 In (20)  75mv = 0.075V. Optical generation rate,
Voc2 = 0.451V + 0.075V = 0.526V.

51. Fill factor, …(1)

Efficiency,
= 0.837 × 1019 /cm3/S

❖❖❖❖

44
www.gradeup.co

45
3
www.gradeup.co

Bipolar Junction
Transistor

Analysis of Previous GATE Papers

2017 Set 1

2017 Set 2

2016 Set 1

2016 Set 2

2016 Set 3

2015 Set 1

2015 Set 2

2015 Set 3
2020

2019

2018
Year →
Topic
Topic ↓

MCQ Type 1
1 Mark
Numerical Type
Fabrication MCQ Type
2 Marks
Numerical Type 1
Total 3
MCQ Type 1 1 1 1
1 Mark
Numerical Type
Configuration and Biasing MCQ Type
2 Marks
Numerical Type 1 1 1
Total 1 1 1 2 2 3

46
www.gradeup.co

FABRICATION

1. The impurity commonly used for realizing

the base region of a silicon n-p-n transistor

is

A. Gallium B. Indium

C. Boron D. Phosphorus

[2004 : 1 Mark]

2. The neutral base width of a bipolar

transistor, biased in the active region, is

0.5 μm. The maximum electron

concentration and the diffusion constant in

the base are 1014/cm3 and Dn = 25

cm2/sec respectively in the base, the

collector current density is (the electron

charge is 1.6 × 10–19 Coulomb)

A. 800 A/cm2 B. 8 A/cm2

C. 200 A/cm2 D. 2 A/cm2

[2004 : 2 Marks]

3. The correct circuit representation of the

structure shown in the figure is

[2019 : 1 Mark]
4. A Germanium sample of dimension 1 cm ×
1 cm is illuminated with a 20 mW, 600 nm
laser light source as shown in the figure.
The illuminated sample surface has a 100
nm of loss-less Silicon dioxide layer that
reflects one-fourth of the incident light,
From the remaining light, one-third of the
power is reflected form the silicon dioxide-
Germanium interface, one-third is
absorbed in the Germanium layer, and
one-third is transmitted through the other
side of the sample.

47
www.gradeup.co

If the absorption coefficient of Germanium 7. The phenomenon known as “Early Effect”


at 600 nm is 3 × 104 cm–1 and the band in a bipolar transistor refers to a reduction
gap is 0.66 eV, the thickness of the of the effective base-width caused by
Germanium layer, rounded off to 3 decimal A. electron-hole recombination at the base
places, is ___ μm B. the reverse biasing of the base-collector
junction
C. the forward biasing of emitter-base
junction
D. the early removal of stored base charge
during saturation to-cut-off switching
[2006 : 1 Mark]

[2019 : 2 Marks] 8.In a uniformly doped BJT, assume that NE, NB


and NC are the emitter, base and collector
CONFIGURATION & BLASING
doping in atoms/cm3, respectively. If the
5. If for a silicon n-p-n transistor, the base- emitter injection efficiency of the BJT is
to- emitter voltage (VBE) is 0.7 V and the close to unity, which one of the following
collector to base voltage (VCB) is 0.2V, then conditions is TRUE?
the transistor is operating in the A. NE = NB = NC
A. normal active mode B. NE>> NB and NB> NC
B. saturation mode C. NE = NB and NB< NC
C. inverse active mode D. NE< NB< NC
D. cut use- off mode [2010 : 2 Marks]
[2004 : 1 Mark] 9. For a BJT, the common-base current gain α
6. Consider the following statements S1 and = 0.98 and the collector base junction
S2.
reverse bias saturation current IC0 = 0.6
S1: The β of a bipolar transistor reduces if
μA. This BJT is connected in the common
the base width is increased.
emitter mode and operated in the active
S2: The β of a bipolar transistor increases if
region with a base drive current IB = 20
the doping concentration in the base is
μA. The collector current Ic for this mode of
increased.
operation is
Which one of the following is correct?
A. 0.98 mA
A. S1 is FALSE and S2 is TRUE
B. 0.99 mA
B. Both S1 and S2 are TRUE
C. 1.0 mA
C. Both S1 and S2 are FALSE
D. 1.01 mA
D. S1 and TRUE and S2 is FALSE
[2011 : 2 Marks]
[2004 : 1 Marks]

48
www.gradeup.co

10. A BJT is biased in forward active mode. emitter-base junction is 0.001 cm2, μn =
Assume VBE = 0.7 V, kT/q = 25 mV and 800 cm2 (V-s) in the base region and
reverse saturation current Is = 10–13 mA. depletion layer widths are negligible, then
The transconductance of the BJT (in mA/V) the collector current IC (in mA) at room
is. temperature is (Given: thermal voltage V T
[2014 : 2 Marks, Set-1] = 26 mV at room temperature, electronic
11. Consider two BJTs biased at the same charge q = 1.6 × 10–19C)
collector current with area, A1 = 0.2
μm×0.2 μm and A2 = 300 μm×300 μm.
Assuming that all other device parameters
are identical kT/q = 26 mV, the intrinsic
carrier concentrations is 1 × 1010 cm–3,
and q = 1.6 × 10–19 C, the difference
between the base-emitter voltages (in mV)
of the two BJTs (i.e., VBE1) is ____.
[2014 : 2 Marks, Set-4] [2016 : 2 Marks, Set-3]
12. If the base width in a bipolar junction 15. For a narrow base PNP BJT, the excess
transistor is doubled, which one of the minority carrier concentrations (ΔnE for
following statements will be TRUE? emitter, ΔpB for base, Δnc for collector)
A. Current gain will increase normalized to equilibrium minority carrier
B. Unity gain frequency will increase concentrations (nE0for emitter, PB0 for
C. Emitter base junction capacitance will base, nc0 for collector) in the quasi-neutral
increase. emitter, base and collector regions are
D. Early voltage will increase shown below. Which one of the following
[2015 : 1 Mark, Set-3]
biasing modes is the transistor operating
13. An npn BJT having reverse saturation
in?
current Is = 10–15 A is biased in the
forward active region with VBE = 700 mV.
The thermal voltage (VT) is 25 mV and the
current gain (β) may vary from 50 to 150
due to manufacturing variations. The
maximum emitter current (in μA) is ____.
[2015 : 2 Marks, Set-3]
14. The injected excess electron concentration
A. Forward active B. Saturation
profile in the base region of an npn BJT,
C. Inverse active D. Cut-off
biased in the active region, is linear, as
[2017 : 1 Mark, Set-1]
shown in the figure. If the area of the

49
www.gradeup.co

16. An npn bipolar junction transistor parameters are identical for both the
operating in the active region. If the devices. Assuming that the hole density
reverse bias across the base-collector profile is the same as that of doping, the
junction is increased, then common-emitter current gain of T2 is
A. the effective base width increases and
common-emitter current gain increases
B. the effective base width increases and
common-emitter current gain decreases
C. the effective base width decreases and
common-emitter current gain increases
D. the effective base width decreases and
common-emitter current gain decreases
[2017 : 1 Mark, Set-2]
A. approximately 2.0 times that of T1
17.The base of a npn BJT T1 has a linear
B. approximately 0.7 times that of T1
doping profile NB(x) as shown below, the
C. approximately 0.3 times that of T1
base of another npn BJT T2 has a uniform
D. approximately 2.5 times that of T1
doping NB of 1017 cm-C. All other
[2020 : 1 Mark]

ANSWER

1. C 2. B 3. D 4. 0.231 5. A 6. D 7. B 8. B 9. D 10. 5.78

11. 381 12. D 13. 1.475 14. 6.656 15. C 16. C 17. A

SOLUTION

1. Boron is trivalent atom. So it acts as an 3.

acceptor type impurity.

N
2. JP = Dn q
x

25  1.6  10–19  1014


=
0.5  10–6  102

= 8 A/cm2

50
www.gradeup.co

9. We know I0 = βIB + (1+β) IOB0


 0.98
where, β = = = 49
1 –  1 – 0.98
IB = 20μA, ICB0 = 0.6μA
 I0 = 1.01 mA
IC
10. gm =
VT

V 
4. Pabsorbed = Pincident (1 – e–αT ) IC = IS  BE 
VT
 e –1 
1/ 3 = 2 / 3 (1-e−αT)
 0.7 
2/ 3 e−αT = 1 / 3 Io = 10–19×   = 144.6257 mA
0.025
e –1 
where α = 3 × 104 cm–1, absorption
0.144 mA
coefficient of Ge sample gm = = 5.78
0.025 V
∴ T = {1/  }ln(2) = 0.231 μm
11. IC1 = IC2 (Given)
5. VBE = 0.7 V [forward biased]
VBE VBE
1 2
and VBC = – VCB Is = Is
1 VT 2 VT
e e
= – 0.2 V [Reverse - biased]
(VBB – VBE ) Is
1 2 1
Hence the transistor operates in normal =
VT Is
e 1
active mode.
6. Reducing base width causes decreasing IS
2
VBE – VBE = VT ln
1 2 IS
recombination base current and therefore 1

IC increases as well as β.  300  300 


= 26 × 10–3 ln   IS A
whereas, if doping concentration increases  0.2  0.2 
the result is vice-versa.
(V BE1 – VBE
2 ) = 381 mV
7. In a bipolar transistor, the increase in the
12.As the base width is increased, the base
depletion region of the reverse biased
current will increase thus reducing the
junction, tends to decrease the width of
collector current. The collector– emitter
the base with increased reverse voltage.
characteristics will be more flat, thus the
This in turn causes the current gain to
extrapolation of collector currents will be
increase with increased voltage resulting in
farther i.e. Early voltage will increase.
nearly linear increase in current even with
the device in the saturation.
8. NB, NB, NC
Since emitter injection efficiency of the BJT
is close to unity.
 NE> NB> NC
and NE>> NB

51
www.gradeup.co

Io Is VBE /VT Reducing base width causes decreasing


13. IB = = e
  recombination base current and therefore
 +1 IC increases as well as β
IE = (β + 1) IB = I eVBE /VT
 S Area under the carrier
70010–3 T2 profile of base ofT1
17. =
= (1.02) (10–15) e 2510
–3 T1 Area under the carrier
profile of base ofT2
= 1.475mA
14. We know that
dn
Collector current (Io) = AeDn
dx
dn
=Aeμn VT
dx
where
A = Area for the emitter-base function
e = Electronic charge
VT = Thermal voltage
I0 = 0.001 × 1.6 × 10–19 × 800 × 0.0226
 104 – 0 
×  
 0.5  10–4 
 
I0 = 6.656 mA
15. Emitter-Base junction (JE) is in RB
Collector-Base junction (JC) is in FB T2 1017  W
=
Hence, inverse active mode. T1 1
2
( )
1017 − 1014 W + 1014 W
16. In a bipolar transistor, the increase in the
1017
depletion region of the reverse biased = 2
junction, tends to decrease the width
1
2
( )
1017 − 1014 + 1014

of the base with increased reverse voltage ⇒ βT2 = 2βT1

❖❖❖❖

52
www.gradeup.co

53
www.gradeup.co

54
www.gradeup.co

55
4
www.gradeup.co

Field Effect Transistor

Analysis of Previous GATE Papers

2017 Set 1

2017 Set 2

2016 Set 1

2016 Set 2

2016 Set 3

2015 Set 1

2015 Set 2

2015 Set 3
2020

2019

2018
Year →
Topic
Topic ↓

MCQ Type 1 1 1
1 Mark
Numerical Type 1
Fabrication MCQ Type 1
2 Marks
Numerical Type 1 1 1 1 1 1
Total 2 3 2 1 3 2 2 3
MCQ Type 1
1 Mark
Numerical Type
Characteristics and Biasing MCQ Type 1 1 1
2 Marks
Numerical Type 1 1
Total 2 2 1 3 2

56
www.gradeup.co

FABRICATION A. S1 is FALSE and S2 is TRUE


B. Both S1 and S2 is TRUE
1. If P is Passivation, Q is n-well implant R is
C. Both S1 and S2 are FALSE
metallization and S is source/drain
D. S1 is TRUE and S2 is FALSE
diffusion, then the order in which they are
[2004 : 2 Marks]
carried out in a standard n-well CMOS
5. A MOS capacitor made using p-type
fabrication process, is
substrate is in the accumulation mode. The
A. P-Q-R-S B. Q-S-R-P
dominant charge in the Channel is due to
C. R-P-S-Q D. S-R-Q-P
the presence of
[2003 : 2 Marks]
A. holes
2. Thin gate oxide in a CMOS process is
B. electrons
preferably grown using
C. positively charged ions
A. wet oxidation
D. negatively charged ions
B. dry oxidation
[2005 : 2 Marks]
C. epitaxial deposition
6. The figure shows the high-frequency
D. ion implantation
capacitance-voltage (C-V) characteristics
[2010 : 1 Mark]
of a Metals/SiO2/sillicon(MOS) capacitor
3. In IC technology, dry oxidation (using dry
having an area of 1 × 10-4 cm2. Assume
oxygen) as compared to wet oxidation
that the permittivities (0 r ) of silicon ans
(using stem or water vapor) produces
Si O2 are 1× 10-12 F/cm and 3.5 × 10–13
A. superior quality oxide with a higher
F/cm respectively.
growth rate.
B. inferior quality oxide with a higher
growth rate.
C. inferior quality oxide with a lower
growth rate.
D. superior quality oxide with a lower
growth rate.
[2013 : 1 Mark]
The gate oxide thickness in the MOS
4. Consider the following statements S1 and
capacitor is
S2.
A. 50 nm B. 143 nm
S1: The threshold voltage (VT) of a MOS
C. 350 nm D. 1 μm
capacitor decreases with increase in gate
[2007 : 2 Marks]
oxide thickness
7. The maximum depletion layer width in
S2: The threshold voltage (VT) of a MOS
Silicon is
capacitor decreases with increase in
A. 0143 nm B. 0.857 nm
substrate doping concentration.
C. 1 nm D. 1.143 nm
Which one of the following is correct?
[2007 : 2 Marks]

57
www.gradeup.co

8. Consider the following statements about 10. The source-body junction capacitance is
the C-V characteristics plot: approximately
S1: The MOS capacitor has as n-type A. 2fF B. 7fF

substrate. C. 2 pF D. 7 pF

S2: If positive charges are introduced in [2012 : 2 Marks]

the oxide, the C-V plot will shift to the left. 11. The gate-source overlap capacitance is
approximately
Then which of the following is true?
A. 0.7 fF B. 0.7 pF
A. Both S1 and S2 are true
C. 0.35 fF D. 0.24 pF
B. S1 is true and S2 is false
[2012 : 2 Marks]
C. S1 is false and S2 is true
12. If fixed positive charges are present in the
D. Both S1 and S2 are false
gate oxide of an n-channel enhancement
[2007 : 2 Marks]
type MOSFET. it will lead to
9. The source of a silicon (ni = 1010 per cm3)
A. a decrease in the threshold voltage.
n- channel MOS transistor has an area of 1
B. channel length modulation.
sq μm and a depth of 1 μm. If the dopant C. an increase in substrate leakage current
density in the source is 10 19
per cm ,the
3
D. an increase in accumulation capacitance
number of holes in the source region with [2014 : 1 Mark, Set-2]
the above volume is approximately 13. In CMOS technology, shallow P-well or N-
A. 107 B. 100 well regions can be formed using
C. 10 D. 0 A. low pressure chemical vapor deposition
[2012 : 2 Marks] B. low energy sputtering

Common Data for Questions 10 and 11: C. low temperature dry oxidation

In the three dimensional view of a silicon n D. low energy ion-implantation

channel MOS transistor shown below, δ = 20 [2014 : 1 Mark, Set-2]


14. In MOSFET fabrication, the channel length
nm. The transistor is of width 1 μm. The
is defined during the process of
depletion width formed at every p- n junction is
A. isolation oxide growth
10 nm. The relative permittivity’s of Si and
B. channel stop implantation
SiO2, respectively, are 11.7 and 3.9, and
C. poly-silicon gate patterning
ε0 = 8.9 × 10–12 F/m
D. lithography step leading to the contact
pads
[2014 : 1 Mark, Set-3]
15. An ideal MOS capacitor has boron doping
concentration of 1015 cm–3 in the substrate.
When a gate voltage is applied, a depletion
region of width 0.5 μm is formed with a
surface (channel) potential of 0.2 V.

58
www.gradeup.co

Given that ε0 = 8.854 × 10–14 F/cm and drain-source voltage. The Channel length
the relative permittivity’s of silicon and modulation parameter λ (V–1) is.
silicon dioxide are 12 and 4, respectively, [2015 : 2 Marks, Set-3]
the peak electric field (in V/μm) in the 20. Consider an n-channel metal oxide
oxide region is ____. semiconductor field effect transistor
[2014 : 2 Marks, Set-3] (MOSFET) with a gate-to-source voltage of
16. A MOSFET in saturation has a drain current 1.8 V. Assume
of 1 mA for VDS = 0.5 V. If the channel W
that = 4, μn Cox = 70 × 10–6 A-V2, the
length modulation coefficient is 0.05 V , –1 L

the output resistance (in k Ω) of the threshold voltage is 0.3 V and the Channel
length modulation parameter is 0.09 V–1.
MOSFET is ____.
In the saturation region, the drain
[2015 : 2 Marks, Set-1]
conductance (in micro-Siemens) is
17. In a MOS capacitor with an oxide layer
_________.
thickness of 10 nm, the maximum
[2016 : 2 Marks, Set-1]
depletion layer thickness is 100 nm. The
21. A long-channel NMOS transistor is biased
permittivities of the semiconductor and the
in the linear region with VDS = 50 mV and
oxide layer are 𝜀𝑠𝑎𝑛𝑑𝜀𝑂𝑆 respectively.
is used as a resistance. Which one of the
Assuming 𝜀𝑠/𝜀𝑂𝑋 = 3, the ratio of the
following statements is NOT correct?
maximum capacitance of the minimum
A. If the device width W is increased, the
capacitance of this MOS capacitor is.____
resistance decreases.
[2015 : 2 Marks, Set-2]
B. If the threshold voltage is reduced, the
18. Which one of the following processes is
resistance decrease
preferred to form the gate dielectric (SiO2)
C. If the device length L is increased, the
of MOSFETs?
resistance increase.
A. Sputtering
D. If VGS is increased, the resistance
B. Molecular beam epitaxial
increases.
C. Wet oxidation
[2016 : 1 Mark, Set-2]
D. Dry oxidation
22. The figure shows the band diagram of a
[2015 : 1 Mark, Set-3]
Metal Oxide Semiconductor (MOS). The
19. The current in an enhancement mode
surface region of this MOS is in
NMOS transistor biased in saturation mode
was measured to be 1 mA at a drain-
source voltage of 5 V. When the drain-
source voltage was increased to 8 V while
keeping gate-source voltage same, the
drain current increased to 1.02 mA.
Assume that drain to source saturation
voltages is much smaller than the applied

59
www.gradeup.co

A. inversion B. accumulation flat band voltage of this capacitor is-1 V,


C. depletion D. flat band then the magnitude of the fixed charge at
[2016 : 1 Mark, Set-3] the oxide-semiconductor interface, in
23. Figure I and II show two MOS capacitors of nC/cm2 is ____.
unit area. The capacitor in Figure I has [2017 : 2 Marks, Set-2]
insulator materials X (of thickness t 1 = 1 26. The band diagram of a p-type
nm and dielectric constant ε1 = 4) and Y semiconductor with a band -gap of 1eV is
(of thickness t2 = 3 nm and dielectric shown, using this semiconductor, a MOS
constant ε2 = 20). The capacitor in Figure capacitor having Vth of -0.16 V, C’ox of 100
II has only insulator material X of nF/cm2 and a metal work function of 3.87
thickness tEq. If the capacitors are of equal eV is fabricated. There is no charge within
capacitances, then the value of tEq (in nm) the oxide. If the voltage across the
is _____. capacitor is VTH, the magnitude of depletion
charge per unit area (in C/cm2) is

[2016 : 2 Marks, Set-3]


24. Consider an n-channel MOSFET having
width W, length L, electron mobility in the
Channel μn and oxide capacitance per unit
area Cox. If gate-to- source voltage VGS =
0.7,drain to source voltage VDS = 0.1 V.
(μnCox = 100 μA/V2, threshold voltage VTh =
0.3 V and) (W/L) = 50, then the trans-
conductance gm (in mA/V) is ___. A.1.41 × 10-8 B.1.70 × 10-8
[2017 : 1 Mark, Set-2] C.0.93 × 10-8 D.0.52 × 10-8
25. A MOS capacitor is fabricated on p-type Si [2020 : 2 Marks, Set-2]
(Silicon) where the metal work function is
CHARACTERISTICS & BIASING
4.1 eV and electron affinity of Si is 4.0 eV.
Ec - EF = 0.9 eV, where EC and EF are the 27. MOSFET can be used as a
conduction band minimum, and the Fermi A. current controlled capacitor
energy levels of Si respectively. Oxide ε r = B. voltage controlled capacitor
3.9, ε0 = 8.85 × 10–14 F/cm, oxide C. current controlled inductor
thickness tox = 0.1 μm and electronic D. voltage controlled inductor
charge q = 1.6 × 10–19 C. If The measured [2001 : 1 Mark]

60
www.gradeup.co

28. The effective channel length of a MOSFET K(VGS – VT )2


A. B. 2K (VGS – VT)
in saturation decreases with increase in VDS
A. gate voltage B. drain voltage
ID K(VGS – VT )2
C. D.
C. source voltage D. body voltage VGS – VDS VGS
[2001 : 1 Mark]
[2008:1 Mark]
29. For an n-channel enhancement type
33. The measured trans-conductance gm of an
MOSFET, if the source is connected at a
NMOS transistor operating in the linear
higher potential than that of the bulk (i.e.,
region is plotted against the gate voltage
VSB> 0), the threshold voltage V T of the
VG at a constant drain voltage VD. Which of
MOSFET will
the following figures represents the
A. remain unchanged B. decrease
expected dependence gm on VG ?
C. change polarity D. increase
[2003 : 1 Mark]
30. When the gate- to source voltage (VGS) of
a MOSFET with threshold voltage of 400
mV, working in saturation is 900 mV, the
drain current is observed to be 1 mA.
Neglecting the channel width modulation
effect and assuming that the MOSFET is
operating at saturation, the drain current
for an applied VGS of 1400 mV is
A. 0.5 mA B. 2.0 mA
C. 3.5 mA D. 4.0 mA
[2003 : 2 Marks]
31. The drain of an n-channel MOSFET is
shorted to the gate so that VGS = VDS. The
threshold voltage (VT) of MOSFET is 1 V. If
the drain current (ID) is 1 mA for VGS = 2
V, then for VGS = 3 V, ID is
A. 2 mA B. 3 mA
C. 9 mA D. 4 mA
[2004 : 2 Marks]
32. The drain current of a MOSFET in
saturation is given by ID = K (VGS – VT)2
where K is a constant, the magnitude of
the trans-conductance gm is [2008 : 2 Marks]

61
www.gradeup.co

34. Consider the following two statements slope of the ID vs. VGS (in A /V) under
about the internal conditions in an n-
saturation region is approximately ___.
channel MOSFET operating in the active [2014 : 2 Marks, Set-3]
region: 38. For the N-MOSFET in the circuit shown. the
S1: The inversion charge decreases from threshold voltage is Vth, where Vth> 0. The
source to drain. source voltage Vss is varied from 0 to VDD.
S2: The Channel potential increases from Neglecting the channel length modulation,
source to drain. the drain current ID as function of Vss is
Which of the following is correct? represented by
A. Only S2 is true.
B. Both S1 and S2 are false.
C. Both S1 and S2 are true, but S2 is not a
reason for S1
D. Both S1 and S2 are true, and S2 is a
reason for S1
[2009 : 2 Marks]
35. At room temperature, a possible value for
the mobility of electrons in the inversion
layer of a silicon n-channel MOSFET is
A. 450 cm2/V-s B. 1350 cm2/V-s
C. 1800 cm2/Vs D. 3600 cm2/V-s
[2010 : 1 Mark]
36. A depletion type N-channel MOSFET is
biased in its linear region for use as a
voltage-controlled resistor. Assume
threshold voltage VTH = 0.5 V, VGS = 2.0 V,
VDS = 5 V, W/L = 100, Cox = 10–8 F/cm2
and μn = 800 cm2/V–s. the value of the
resistance of the voltage-controlled resistor
(in Ω) is ____.
[2014 : 2 Marks, Set-1]
37.The slope of the ID vs VGS curve of an n-
channel MOSFET in linear region is 10 –3 Ω
at Vds=0.1V For the same device,
neglecting channel length modulation, the

62
www.gradeup.co

41. Consider a long channel NMOS transistor


with source and body connected together.
Assume that the electron mobility is
independent of VGS and VDS. Given,
gm = 0.5 μA/V for VDS = 50 mV and
VGS = 2V,
gd = 8μA/V for VGS = 2 V and VDS = 0 V.
[2015 : 2 Marks, Set-1]
ID ID
39. Consider the following statements for a where gm = and gd =
VGS VDS
metal oxide semiconductor field effect
The threshold voltage (in volts) of the
transistor (MOSFET): transitor is _____.
P: As channel length reduces, OFF-state [2016 : 2 Marks, Set-2]
current increases. 42. Two n-channel MOSFETs, T1 and T2, are
Q: As channel length reduces, output identical in ali respects except that the

resistance increases. width of T2 is double that of T1. Both the


transistors are biased in the saturation
R: As Channel length reduces, threshold
region of operation, but the gate overdrive
voltage remains constant.
voltage (VGS –VTH) of T2 is double the of
S: As channel length reduces, ON current
T1, where VGS and VTH are the gate-to-
increases. source voltage and threshold voltage of the
Which of the above statements are transistors, respectively. If the drain
INCORRECT? current and trans-conductance of T1 are
A. P and Q B. P and S ID1 and gm1 respectively, the corresponding

C. Q and R D. R and S values of these two parameters for T2 are


A. 8ID1 and 2gm1 B. 8ID1 and 4gm1
[2016 : 1 Mark, Set-1]
C. 4ID1 and 4gm1 D. 4ID1 and 2gm
40. A voltage VG is applied across a MOS
[2017:2 Marks, Set-2]
capacitor with metal gate and p-type
43. Consider a long-channel MOSFET with
Silicon substrate at T = 300 K. The
channel length 1 μ m and width 10μm. The
inversion carrier density (in number of device parameters are acceptor
carriers per unit area) for VG = 0.8 V is 2 × concentration NA = 5 × 10 16
cm , electron
–3

10 11
cm . For VG = 1.3 V, the inversion
–2
mobility μn = 800 cm /V–s,
2
oxide
carrier density is 4 × 1011 cm–2 For VG = capacitance/area Cox = 3.45 × 10 –7
F/cm2,

1.3 V, the inversion carrier density is 4 threshold voltage VT = 0.7V. The drain
saturation
×1011cm–2. What is the value of the
inversion carrier density for VG = 1.8 V? (
current ID
sat ) in mA for a gate voltage of

A. 4.5 ×1011 cm2 B. 6.0 ×1011 cm2 5V is ____.


C. 7.2 ×10 11
cm 2
D. 8.4 ×10 11
cm 2 [ε0 = 8.854 × 10–14 F/cm, εSi, = 11.9]
[2016 : 2 Marks, Set-2] [2019 : 2 Marks]

63
www.gradeup.co

64
www.gradeup.co

ANSWER

1. B 2. B 3. D 4. D 5. A 6. A 7. B 8. C 9. D 10. D

11. A 12. A 13. D 14. C 15. 2.4 16. 20 17. 4.33 18. D 19. 0.022 20. 28.35

21. D 22. A 23. 1.6 24. 0.5 25. 6.903 26. B 27. B 28. B 29. D 30. D

31. D 32. B 33. C 34. D 35. B 36. 500 37. 0.07 38. A 39. C 40. B

41. B 42. B 43. 25.51

SOLUTION

1. * 5. Accumulation occurs when we set VGB<


2. To achieve high quality oxide growth (i.e, VFB, pulling the gate to a potential below
uniform film with good dielectric the substrate. This causes holes to
properties), dry oxide alone is employed accumulate at the top of the substrate and
when gate oxide is grown. We oxidation is electrons to accumulate at the bottom of
used to grow field oxide, since the quality the n + gate.
of the dielectric properties of the field 6. capacitance,
oxide are not as critical as they are for the A
C=
gate oxide. Dry oxidation is slower than tox
the wet oxidation.
 A 3.5  10–13  1  10–4
3. To achieve high quality oxide growth, dry tox = =
C 7  10–12
oxidation alone is employed when gate
= 5 × 10–8 m.
oxide is grown. Dry oxidation is slower
tox = 50 nm.
than the wet oxidation.
7.   si = 10–12F/cm = 10–10F/m.
Eox
4. S1: Cox = , tox = Gate oxide thickness Cmax .Cd
tox  Cmin =
Cmax – Cmin
QB Q
VT = φms – 2φF – – ox
Cox Cox Cmax .Cmin
Cd =
Cmax – Cmin
QB Q
if Cox  , then VT  , , ox 
Cox Cox

If Cox  , then tox increases.


Hence, S1 is true.
S2: VT of MOS increases by increases in
substrate doping concentration.
Hence, S2 is false.

65
www.gradeup.co

7 1  A faces are in connection with p-type


Cd = = 1.166pF = si
7 –1 w substrate and forming the p-n junction
–10 –4 –4
10  10  10 diode.
w= 0.857μm,
1.166  10–12
Source body junction capacitance,
8. (1) As shown in the given c-v plot, Vth is
 A 0 (r )si A
positive hence, c= =
d d
(i) The MOSFET is n-channel. where, d = width of depletion region
(ii) The substrate of the MOSFET is p-type.
= 10 nm.
A = A1 + A2 + A3 + (given) = 3A1
A = 3 × (0.2 × 10 = 0.60 μm2.

11.7  8.85  10–12  0.60  10–12


c=
10–8

~ 7 × 10.15 F = 7 fF.
11. C0v = WL0vcox

0 (r )si02
 Cox =
C-V plot for MOS capacitor s1 is false. tox
(2) If positive charges are introduced in o (r )sio2
Cov = WLov.
the oxide, the accumulation mode will be tox
obtained for lesser value of V a, i.e.,
10–6  20  10−9  8.9  10–12  3.9
threshold will occur for lower value of V a. =
10–9
Hence, C-V plot will move towards left.
Cov = 694.2 × 10–18 F = 0.6942 × 10–15 F
S2 is true.
Cov 0.7fF.
ni2 12. When positive gate voltage is applied then
9. p= 19
= 10 cm–3
10
electrons of p-type substrate are attracted,
Volume = 10–6 ×10–6 m3 = 10–12 cm3
and they form an inversion layer. If
 Total holes = 10–11 ≈ 0
already fixed positive charges are present
10. Given:
on gate, then less gate voltage is required
δ = 20 nm, width of transistor = 1 μm.
to induce n-channel. Hence, it will reduce
Depletion width at every p-n junction
the threshold voltage.
= 10 nm.
13. In CMOS technology, shallow P-well or N-
(r )si = 11.7, (r ) = 3.9,
si
well regions can be formed using low
n = 8.85 ×10–12 F/m. energy ion-implantation
It has rectangular shape in 3 –D, except 14. The channel length is defined during the
for the top face (out of four faces), all process of poly-silicon gate patterning.

66
www.gradeup.co

2  0.2 1
15. Es = = 0.8 v/μm. 21. Here, rds =
0.5 W
nCox (V – VT )
L GS
Es
Eox = E = 2.4 v/μm
Eox s rds = channel resistance

16. Under channel length modulation W  r  A → correct,


ID = IDsat (1 + λVDS) VT  r  B → correct
dID I
= = IDsat L  r  C → correct
dVDS r0
VGS  r 
1 1
r0 = = = 20kΩ
IDaat 0.05  10–3  D → Wrong statement

ox So, statement D is not correct.


C max tox 22. Conclusion from Energy band diagram:
17. =
C min ox 8
* (i) Fami-energy level EFS is close EC.
tox Xdmax
( ) Therefore, MOS has n-type substrate.
ox 8
+
tox Xdmax Hence it is PMOS transistors.

 X    100 1  (ii) Band bending is in upward direction,


= 1 + dmax  ox  = 1 +  = 4.33
 tox 8   10 3  the direction of electric field is upward.
19. Given transistor is in saturation region, and Gate voltage is negative then MOS
Current = 1 mA at VDS = 5 V capacitor will be either in depletion region
Current = 1.02 mA at VDS = 6 V or strong invention region.
Assuming VG constant, current in
(iii) Surface potential, φs = 2φfFn.
saturation region is
Therefore, MOS capacitor is in invention
k 2
ID = ( VGS − VTN ) (1 + VDS ) region.
2

(
ID = 1 + VDS
1 ) 1
23. We known that
A
Now C =
I = (1 + V
D ) DS2
d
Where C = Capacitance
1.02mA (1 + V ) DS2
So, = A = Area of a capacitor
1mA (1 + VDS1 )
ε = dielectric constant
1 + 6
1.02 = C1C2
1 + 5 C =
C1 + C2
 = 0.022V −1
4 20
20. In saturation region, –9
*
= 1  10 3  10–9 8.8521  10–12
1 w 2 4 20
gd = λIDS = λ  nCox ( Vas – VT )  +
 2 L  1  10–9 3  10–9
1  = 2.5 × 109 ε0
= 0.09   7010–6  4(1.8 – 0.3)2 
2 
gd = 28.35 μs

67
www.gradeup.co

r 0
C=
teq

r 0 4  0
teq = =
9
2.5  10 0 2.5  109 0

= 1.6 × 10–9 m = 1.6 nm.


So, the value of thickness (teq) is 1.6 nm. Qss
VFB = φms –
24. Given: VGS = 0.7 V, Vth = 0.3 V, Cox
VDS = 0.1 V.
Qss
= – 0.8 + 1 = 0.2 V
VGS – Vth = 0.7 –0.3 = 0.4 V > VDS Cox
Hence, MOSFET is in linear region. In linear
Qss = 0.2 × Cox
region,
= 0.2 × 34.515 × 10–19 c/cm2
ID
Trans-conductance, gm = = 2.kn VDS = 6.903 nc/cm2.
Vas
26. From the figure, EC – EV = 1eV
nocxC  W 
. VDS= 100 × 50 × 0.1 = 0.5 Ev+ q ϕB + 0.2 eV
2  L 
⇒ qϕB = 0.3eV
= 0.5 mA/V.
⇒ ϕB = 0.3 V, where qϕB = Ei – EFS
25. Given: r = 0.9, 0 = 8.86 × 10–14 F/cm
The magnitude of depletion charge density
tox = 0.1 μm, q = 1.6 × 10–19 c.
…(1)
metal work function eφm = 4.1 eV

where, ψs = 2ϕB = 2 × 0.3 V = 0.6 V …(2)


Voltage across capacitor,

…(3)

where, VFB = ϕms = ϕm – ϕS


Electron affinity e  = 4 eV
= 3.87 – 4.8
Flat band gap VFB = – 1 V. VFB = ϕmS = –0.93V …(4)
Vacuum energy level = EVac From (1), (2), (3) & (4),
 Ec – EFP = 0.9 eV
ρS = 1.7 × 10–8 C/cm2
eφs = e  + 0.9 = 4.9 eV
29. VT = VTo +  | VSB – 2F | – 2F |
φs = 4.9 V, eφm =4.1 eV, φm = 4.1 V.
where, VT = Threshold voltage
 φns = φm – φs = 4.1 – 4.9 = – 0.8 V
γ = substrate bias coefficient
 3.9  8.85  10–14
 Cox = ox = VSB = substrate bias voltage
tox 0.1  10–4
threshold voltage VT of the MOSFET will
= 34.515 nf/cm2
increase when VsB >0

68
www.gradeup.co

69
www.gradeup.co

30. 𝑽𝑻 = 𝟒𝟎𝟎𝒎𝑽 = 𝟎. 𝟒𝑽 2
= 1 × (3 – 1)
Voltage applied at gate (2 – 1)2

𝑽𝑮𝑺 = 𝟗𝟎𝟎𝒎𝑽 =0.9 V = 4mA


𝑰𝑫𝑺= 𝟏𝒎𝑨 nCox W
32. ID =
2L
( VGS – VT )2 =K (VGS – VT)2
Find the drain current for 𝑽𝑮𝑺 = 𝟏𝟒𝟎𝟎𝒎𝑽
ID
MOSFET is operating in saturation Now, gm = vDS=Cons tan t
vgs
𝑰𝑫𝑺 = 𝑲 (𝑽𝑮𝑺 − 𝑽𝑻 ) 𝟐𝒐𝒓, 𝟏× 𝟏𝟎−𝟑
= 𝑲 (𝟎. 𝟗 − 𝟎. 𝟒) 𝟐 gm = 2K (VGS – VT)

𝒐𝒓, 𝑲 = 𝟏𝟎−𝟑 (𝟎.𝟓)𝟐 = 𝟒 × 𝟏𝟎−𝟑𝑨 /𝑽𝟐 33. In linear region of NMOS transistor, drain

For VGS = 1.4 V current is given by,

𝑰𝑫𝑺 = 𝑲 (𝑽𝑮𝑺 − 𝑽𝑻 ) 𝟐 nCox W  1 


ID =  VGS – VT – 2 VDS  VDS and
L  
= 𝟒 × 𝟏𝟎−𝟑 (𝟏. 𝟒 − 𝟎. 𝟒) 𝟐

𝑰𝑫𝑺 = 𝟒𝒎𝑨 VDS ≤ VGS – VT

31. Given: Vth = 1 V, ID1 = 1 mA for VDS1 = 2 V ID


Now, gm = vDS=Cons tan t
vgs
VGS = VDS.
ID  C W
= n ox .VDS = K [Constant].
Vgs L

ID
Hence, it can be inferred that follows
Vgs

a constant Linear variation.


34.

 VDS> VGS – Vth.


Both S1 and S2 are true, and S2 is reason
Therefore, n- MOSFET is in saturation for S1
region. Drain current of a n - MOSFET 35. For n- channel MOSFET,
working in saturation region is Mobility of inversion layer = 1350 cm2/V-s
1
ID = kn (VGS – Vth)2 1
2 36. rDS =
W
ID α (VGS – Vth)2. (nCon )   (VGS – VT )
L 
2
 VGs – Vth  1
2
ID = ID1 ×  =
 VGs – Vth  800  10 –4 8
 10  100  (20 – 0.5)
 1 
rDS = 500 Ω

70
www.gradeup.co

37. In linear region, VG1 – VT Q 0.8 – VT 2  1011


= 1  =
 V2 
VG2 – VT Q2 1.3 – VT 4  1011
ID = k (VGS – VT )VDS – DS 
 2  VT = 0.3 V.

ID VG2 – VT Q2 V – VT
= 10–8 = kVDS  =  Q3 = G3  Q2
VGS VG – VT Q3 VG2 – VT

=1.5*4 ×1011
V2
VDS is small, DS is neglected =6.0 ×1011 cm2
2
41. Since VGS> VDS,
0–3
K= = 0.01
0.1 MOSFET is in linear operation

In saturation region, ID = KN [VGS – VT] VDS(neglecting Vds2/2


1 term )
ID = k (VGS – VT)2
2
dID
= KN VDS
k dVGS
ID = (V – VT )
2 GS
gm = KN VDS
 ID k 0.01 0.5 × 10–5 = KN [50×10–3]
= = =0.07
VGS 2 2 KN = 10–5
38. Vgs=Vds ID = KN [VGS –VT] VDS
Hence MOS Transistor is in saturation. dID
=KN [VGS – VT]
In saturation, dVdS
ID = k (VGS – VT)2 gd = KN [VGS – VT]
=k (Vdd-Vss-Vth) 2
8 ×10–6 = 10–5 [2 –VT]
As Vss increases Id decreases(not linearly
8  10 –6
2 – VT
because square factor) 10–5
Hence Option (A) is correct. 8 × 10–1 = 2 – VT
39. As per the given statement
0.8 = 2 – VT
P : TRUE
 VT = 1.2 V
Q : FALSE, As channel length reduces,
Hence threshold voltage of the transistor is
output resistance reduces.
1.2 V.
R : FALSE, As channel length reduces,
42. Given: W2 = 2W1, (Vas2 – VT2)
threshold voltage reduces
= 2 (Vss1 – VT1)
S : TRUE
2
So, the given statement Q and R are ID2 W V – VT2 
= 2   as2  = 2 × 22 = 8
ID1 W1  Vas1 – VT1 
incorrect.
40. In a MOS capacity, inverse charge density ID2 = 8 ID1

Q α (VG1 – VT) gm2 W2 Vas2 – VT2


 = . =2×2=4
gm1 W1 Vas1 – VT1

71
www.gradeup.co

43. Given data; L = 1 μm μm, W = 10 μm VG = 5 V

cm2 Now, ID =
1
 C
W
 V – VT 
2
NA = 5 × 1016 cm–3, μn = 800 sat 2 n ox L  G
v – sec
Cox = 3.45 × 10–7 F/cm, VT = 0.7 V 1 10
= × 800 × 3.45 × 10–7 × [5 – 0.7]2
2 1
ε0 = 8.854 × 10–14F/cm, εsi = 11.9 and
= 0.0255 A ≈ 25.51 mA

❖❖❖❖

72
www.gradeup.co

73
www.gradeup.co

1
www.gradeup.co

SYLLABUS

D iode Circuits: Clipping, Clamping and Rectifiers. BJT and MOSFET Amplifiers:
Biasing, AC Coupling, Small Signal Analysis, Frequency Response. Current Mirrors
and Differential Amplifiers. Op-amp Circuits: Amplifiers, Summers, Differentiators,
Integrators, Active Filters, Schmitt Triggers and Oscillators.

CONTENT
S.No. Chapter Page No.

1. Diode Application …………….………………………………………..……………………………………. 4-25

➢ Small Signal Equivalent Circuits of Diode ………………………………..…………………….…………… 5

➢ Simple Diode Circuits ………………………………………….……………………...…………………................ 5


➢ Rectifiers ………..……………………………………………………………………………...………………………... 11

Answer ………………………………………………………………………………………………………………………. 14

Solution ……………………………………………………………………………………………………………………... 14-25

2. BJT & FET Analysis …….…………………………………………………………………….………………. 26-64

➢ Small Signal Equivalent Circuits ………………………………………………………………………………... 27

➢ Analysis & Biasing ………………………………………………………................................................................ 32


Answer ………………………………………………………………………………………………………………………. 46

Solution ……………………………………………………………………………………………………………………... 46-64

2
www.gradeup.co

S.No. Chapter Page No.

3. Frequency Response of Amplifier ……………………………………………………………………. 66-71

➢ Low & High Frequency Response of Amplifiers Using BJT & FET ……..………………….……… 67

➢ Multistage Amplifiers ……………………..……………………………………................................................... 68


➢ Miller Effect ……………………………………………………………………………………………………………… 69
Answer ………………………………………………………………………………………………………………………. 70
Solution ………………………………………………………………………………………………………………….….. 70-71

4. Operational Amplifier ……………………………………………………………………………………. 73-101

➢ Ideal & Practical Op-Amp …..…………………………………………………………………………………..…. 74

➢ Different Op-Amp Configurations ……..……………….............................................................................. 77


Answer …………………………………………………………………………………………………………………..….. 85

Solution …………………………………………………………………………………………………………………….. 89-101

5. Feedback & Power Amplifier ……….………………………………………………………………. 103-107

➢ Feedback (Concept & Connection Types) …...……………………………………………………………… 104

➢ Power Amplifier (Definition & Types) ….………………………................................................................ 106


Answer ………………………………………………………………………………………………………………………. 106
Solution ………………………………………………………………………………………………………………….... 106-107

6. Analog Circuits ……….……………………………………………………………………………………. 109-118

➢ Sinusoidal Oscillators …….……………………………………………………………………………….………… 110


➢ Function Generator & Wave Shaping Circuits ….……………………………………………….………… 112
➢ 555 Timer ……………………………………………………..……………………………………………….………… 113

Answer ………………………………………………………………………………………………………………………. 114


Solution ………………………………………………………………………………………………………….………... 114-118

3
1
www.gradeup.co

Diode Application

Analysis of Previous GATE Papers

2017 Set 1

2017 Set 2

2016 Set 1

2016 Set 2

2016 Set 3

2015 Set 1

2015 Set 2

2015 Set 3
2020

2019

2018
Year →
Topic ↓

MCQ Type
1 Mark
Numerical Type
Small Signal
Equivalent
MCQ Type
Circuits of
2 Marks
Diode
Numerical Type

Total

MCQ Type 1 1 1
1 Mark
Numerical Type 1 1 2 1 1
Simple Diode
MCQ Type 1 2 1
Circuits
2 Marks
Numerical Type 1 1

Total 4 2 5 4 2 3 1

MCQ Type
1 Mark
Numerical Type 1 1

Rectifiers MCQ Type


2 Marks
Numerical Type 1

Total 1 2

4
www.gradeup.co

SMALL SIGNAL EQUIVALENT CIRCUIT 4. The circuit shown in the figure is best
OF DIODE described as a

In the circuit shown below, asume that the


voltage drop across a forward bias diode is
KT
0.7V. The thermal voltage Vt = = 25 mV.
q
The small signal input Vi = Vp cos (ωt) where
VP = 100 mV.
A. bridge rectifier
1. The bias current IDC through the diodes is
B. ring modulator
A. 1 mA B. 1.28 mA
C. frequency discriminatory
C. 1.5 mA D. 2 mA
D. Voltage doubler
[2011 : 2 Marks]
[2003 : 1 Marks]
2. The ac output voltage vac is
5. In the voltage regulator shown in the
A. 0.25cos(ωt)mV
figure, the load current can vary from 100
B. 1cos(ωt)mV
mA to 500 mA. Assuming that the Zener
C. 2cos(ωt)mV
diode is ideal (i.e., the Zener knee current
D. 22cos(ωt)mV
is negligibly small and Zener resistance is
[2011 : 2 Mark]
zero in the breakdown region), the value of
SIMPLE DIODE CIRCUITS R is

3. A zener diode regulator in the figure is to


be designed to meet the specifications: IL
= 10 mA, V0 = 10 V and Vin varies from 30
V to 50 V. The zener diode has V z = 10 V
and Izk (knee current) = 1 mA. For A. 7 Ω B. 70 Ω
satisfactory operation 70
C.  D. 14 Ω
3
[2004 : 2 Marks]
6. The Zener diode in the regulator circuit
shown in the figure has a Zener voltage of
A. R  1800 5.8 volts and a Zener knee current of 0.5
B. 2000  R  2200 mA. The maximum load current drawn

C. 3700  R  4000 from this circuit ensuring proper

D. R > 4000 Ω functioning over the input voltage range


[2002 : 2 Marks] between 20 and 30 volts, is

5
www.gradeup.co

8. For the Zener diode shown in the figure,


the Zener voltage at knee is 7 V, the knee
current is negligible and the Zener dynamic
resistance is 10 Ω. If the input voltage (Vi)
range is from 10 to 16 V, the output
voltage (V0) ranges from
A. 23.7 mA B. 14.2 mA

C. 13.7 mA D. 24.2 mA

[2005 : 2 Marks]

7. For the circuit shown below, assume that

the zener diode is ideal with a breakdown


A. 7.00 t 7.29 V
voltage of 6 volts. The waveform observed
B. 7.14 to 7.29 V
across R is
C. 7.14 to 7.43 V
D. 7.29 to 7.43 V
[2007 : 2 Marks]
9. In the following limiter circuit, an input

voltage Vi = 10 sin100V is applied.

Assume that the diode drop is 0.7 V when


it is forward biased. The Zener breakdown
A.
voltage is 6.8 V.

B.

C.
The maximum and minimum values of the
output voltage respectively are
A. 6.1 V, -0.7 V

D. B. 0.7 V, -7.5 V
C. 7.5 V, -0.7 V
D. 7.5 V, -7.5 V
[2006 : 2 Marks]
[2008 : 1 Marks]

6
www.gradeup.co

10. In the circuit below, the diode is ideal. The 13. A voltage 1000sinωt volts is applied across
voltage V is given by YZ. Assuming ideal diodes, the voltage
measured across WX in volts, is

A. min(Vi, 1) B. max(Vi, 1)
C. min(-Vi, 1) D. max(-Vi, 1)
[2009 : 2 Marks]
11. The diode and capacitors in the circuit
shown are ideal. The voltage v(t) across A. sinωt B.
( sin t + sin t )
2
the diode D1 is

C.
( sin t − sin t ) D. 0 for all t
2
[2013 : 2 Marks]
14. In the figure, assume that the forward
voltage drops of the PN junction D1 and

A. cos(ωt) - 1 B. sin(ωt) Schottky diode D2 are 0.7 V and 0.3 V,

C. 1 – cos(ωt) D. 1 - sin(ωt) respectively. If ON denotes conducting


[2012 : 1 Marks] state of the diode and OFF denotes non-
12. In the circuit shown below, the knee conducting state of the diode, then in the
current of the ideal Zener diode is 10 mA. circuit,
To maintain 5 V across RL, the minimum
value of RL in Ω and the minimum power
rating of the Zener diode in mW,
respectively, are

A. both D1 and D2 are ON


B. D1 is ON and D2 is OFF
C. both D1 and D2 are OFF
D. D1 is OFF and D2 is ON
[2014 : 1 Mark, Set-1]
15. The diode in the circuit shown has Von =
0.7 V but is ideal otherwise. If Vi =
A. 125 and 125 B. 125 and 250
5sin(ωt) volts, the minimum and maximum
C. 250 and 125 D. 250 and 250
values of V0 (in Volts) are, respectively,
[2013 : 2 Marks]

7
www.gradeup.co

18. For the circuit with ideal diodes shown in


the figure, the shape of the output (Vout)
for the given sine wave input (Vin) will be

A. -5 and 2.7 B. 2.7 and 5


C. -5 and 3.85 D. 1.3 and 5 A.

[2014 : 2 Mark, Set-2]


16. The figure shows a half-wave rectifier. The
diode D is ideal. The average steady-state
B.
current (in Amperes) through the diode is
approximately……………… .
C.

D.

[2014 : 1 Mark, Set-3] [2015 : 1 Mark, Set-1]

17. Two silicon diodes, with a forward voltage 19. In the circuit shown below, the Zener diode

drop of 0.7 V, are used in the circuit shown is ideal and the Zener voltage is 6 V. The

in the figure. The range of input voltage V i output voltage V0 (in volts) is ……………… .
for which the output voltage V0 = Vi is,

A. -0.3 V < Vi < 1.3V [2015 : 1 Mark, Set-1]


B. -0.3 V < Vi < 2V 20. If the circuit shown has to function as a
C. -1.0 V < Vi < 2.0 V clamping circuit, then which one of the
D. -1.7 V < Vi < 2.7 V following conditions should be satisfied for
[2014 : 1 Mark, Set-4]
the sinusoidal signal of period T?

8
www.gradeup.co

A. RC < < T B. RC = 0.35 T


C. RC = T D. RC > > T The steady state magnitude of the

[2015 : 1 Mark, Set-2] capacitor voltage VC (in volts) is …………… .

21. The diode in the circuit given below has [2016 : 2 Mark, Set-2]

VON = 0.7 V but is ideal otherwise. The 24. The diodes D1 and D2 in the figure are ideal

current (in mA) in the 4 kΩ resistor is and the capacitors are identical. The

……………… . product RC is very large compared to the


time period of the ac voltage. Assuming
that the diodes do not breakdown in the
reverse bias, the output voltage V0 (in volt)
at the steady state is .……………… .

[2015 : 2 Mark, Set-2]


22. In the circuit shown, assume that diodes
D1 and D2 are ideal. In the steady-state
condition the average voltage Vab (in Volts)
across the 0.5 μF capacitor is ……………… .
[2016 : 1 Mark, Set-3]
25. Assume that the diode in the figures has
Von = 0.7 V, but is otherwise ideal.

[2015 : 1 Mark, Set-3]


23. The switch S in the circuit shown has been
closed for a long time. It is opened at time
t = 0 and remains open after that. Assume
that the diode has zero reverse current and The magnitude of the current i2 (in mA) is

zero forward voltage drop.……………… . equal to …………… .


[2016 : 1 Mark, Set-2]

9
www.gradeup.co

26. The I-V characteristics of the zener diodes Assuming 10 τ < < T, where τ is the time
D1 and D2 are shown in Figure I. These constant of the circuit, the maximum and
diodes are used in the circuit given in minimum values of the output waveform
Figure II. If the supply voltage is varied are respectively,
from 0 to 100 V, then breakdown occurs in
A. 7.5 V and -20.5 V
B. 6.1 V and -21.9 V
C. 7.5 V and -21.2 V
D. 6.1 V and -22.6 V
[2017 : 1 Mark, Set-2]
29. A DC current of 26 μA flows through the
circuit shown. The diode in the circuit is
A. D1 only
forward biased and it has an ideality factor
B. D2 only
of one. At the quiescent point, the diode
C. both D1 and D2
D. none of D1 and D2 has a junction capacitance of 0.5 nF. Its

[2016 : 2 Mark, Set-3] neutral region resistances can be


27. The output V0 of the diode circuit shown in neglected. Assume that the room
the figure is connected to an averaging DC temperature thermal equivalent voltage is
voltmeter. The reading on the DC 26 mV.
voltmeter in Volts, neglecting the voltage
drop across the diode, is …………. .

[2017 : 1 Mark, Set-2] For ω = 2 × 106 rad/s, the amplitude of


28. In the figure, D1 is a real silicon pn the small-signal component of diode
junction diode with a drop of 0.7 V under current (in μA) correct to one decimal
forward bias condition and D2 is a Zener
place is …………… .
diode with breakdown voltage of -6.8 V.
[2018 : 2 Marks]
The input Vin(t) is a periodic square wave
30. The circuit shown in the figure is used to
of period T, whose one period is shown in
provide regulated voltage (5 V) across the
the figure.
1 kΩ resistor. Assume that the Zener diode
has a constant reverse breakdown voltage
for a current range, starting from a
minimum required Zener current, IZmin = 2
mA to its maximum allowable current.

10
www.gradeup.co

The input voltage V1 may vary by 5% from 32. The correct full wave rectifier circuit is

its nominal value of 6 V. The resistance of

the diode in the breakdown region is

negligible. A.

The value of R and the minimum required B.

power dissipation rating of the diode,

respectively, are

A. 186 Ω and 10 mW

B. 100 Ω and 40 mW

C. 100 Ω and 10 mW
C.
D. 186 W and 40 mW

[2018 : 2 Marks]

RECTIFIERS

31. In a full-wave rectifier using two ideal

diodes, Vdc and Vm are the dc and peak


D.
values of the voltage respectively across a

resistive load. If PIV is the peak inverse

voltage of the diode, then the appropriate


[2007 : 1 Mark]
relationships for this rectifier are
33. The figure shows a half-wave rectifier with
V
A. Vdc = m ,PIV = 2Vm a 475 μF filter capacitor. The load draws a

Vm constant current I0 = 1 A from the rectifier.


B. Vdc = 2 ,PIV = 2Vm
 The figure also shows the input voltage Vi

Vm the output voltage Vc and the peak-to-peak


C. Vdc = 2 ,PIV = Vm
 voltage ripple u on Vc. The input voltage Vi
Vm is a triangle-wave with an amplitude of 10
D. Vdc = ,PIV = Vm
 V and a period of 1 ms.
[2004 : 2 Marks]

11
www.gradeup.co

35. In the circuit shown, Vs is a 10 V square


wave of period, T = 4 ms with R = 500 Ω
and C = 10 μF. The capacitor is initially
unchanged at t = 0, and the diode is
assumed to be ideal. The voltage across
the capacitor (VC) at 3 ms is equal to ……..
volts (rounded off to one decimal place).

The value of the ripple u (in volts) is ……. .


[2016 : 2 Marks, Set-2]
34. In the circuit shown, Vs is a square wave of
period T with maximum and minimum
values of 8V and -10V, respectively.
Assume that the diode is ideal and R 1 = R2
= 50 Ω. The average value of VL is ………
volts (rounded off to 1 decimal place).
[2019 : 2 Marks]
36. In the circuit shown below all component
ideal, input voltage is sinusoidal.
Magnitude of steady state output Vo is
___________ V.

[2020 : 1 Marks]

[2016 : 2 Marks, Set-2]

12
www.gradeup.co

13
www.gradeup.co

ANSWER

1.A 2. B 3. A 4. D 5. D 6. A 7. B 8. C 9. C 10. A

11.A 12. B 13. D 14. D 15. C 16.(0.09) 17. D 18.C 19.(5) 20.D

21.B 22.(100) 23.(100) 24.(0) 25. B 26. A 27.(3.183) 28.A 29.6.40 30.B

31.B 32. A 33.(2.105) 34.(-3) 35.(3.31) 36. (650.5)

SOLUTION

1. Given : Forward voltage drop of diode = By replacing all diodes by their ac

0.7 V, resistance redrawing the circuit;

KT
VT = = 25mV, Vi = 100 cos ( t ) mV
q

For DC analysis, short-circuiting the AC

voltage. By replacing all diode by their cut-

in voltage,

VDC = 4 × 0.7 = 2.8 V

12.7 − 2.8
Current, IDC = = 1 mA
9.9

2. For AC analysis, short-circuit the DC

voltage source.
Applying voltage division rule,
Dynamic resistance or ac resistance of
4rac 4  25
diode is Vac =  Vi =  Vi
9900 + 4rac 9900 + 4  25
VT V 25 m V
rac = = T = = 25 4rac 4  25
I I 1mA Vac =  Vi =  Vi
9900 + 4rac 9900 + 4  25
(Assume,  = 1 )
Vac = cos(ωt) mV

14
www.gradeup.co

Vin − Vz 6.
3.  IzK + IL
R
20
when Vin = 30V,  11  10−3
R
or R ≤ 1818 Ω …(i)
and when Vi = 50 V
30
then  11  10−3
RL
R ≤ 3636Ω …(ii)
V-I characteristics of zener diode
Combining equation (i) and (ii) we get
R ≤ 1818 Ω

For Vi = 20 volts, minimum value of

4. current I
20−5.8
𝐼𝑚𝑖𝑛 = = 14.2𝑚𝐴
1𝑘

For Vi = 30V, and maximum value of


current I
30−5.8
𝐼𝑚𝑎𝑥 = = 24.2𝑚𝐴
1𝑘

5. From the circuit given, we have Maximum value of load current


12−𝑉𝑧
= 𝐼𝐿 + 𝐼𝑧 IL,max = Imax – Izk
𝑅
Where Izk is the knee current = 24.2 – 0.5
= 23.7 mA
7.

 12 − Vz 
Or, IL =   − Iz
 R 
Given : 100 mA < IL < 500 mA,
7
100 × 10−3 < − Iz < 500 × 10−3
R
7
Then, 100 × 10−3 < < 500 × 10−3
R

{Zener knee current is negligibly small}


Or 14 Ω < R < 70 Ω
Hence required value of R = 14 Ω

15
www.gradeup.co

 9. In positive half cycle


During 0  t 
6
Diode is OFF, No conduction then, V R = 0
volts


In the interval,  t   .
6
Zener diode voltage, Vz = 6 volts
Then, Vo = 12 sin ωt – 6
= 12sinωt – 6

In the interval,   t  2 , zener diode is

in conducting state, V2 = 0
Then, Vo = 12 sinωt

D1 is forward bias, D2 is reverse bias


∴ (V0)max = 0.7 + 6.8 = 7.5 V
In negative half cycle, D2 is Forward bias
and D1 is Reverse bias
∴ (V0)min = VD2 = -0.7 volt
Alternately
During negative cycle,
8. D1 is OFF and D2 is ON
V0 = -VD2 = -0.7V
10. Case 1 : Assuming diode is ON.
Hence, diode can be replaced by short-
circuit.

10 − 7 3
When Vi = 10V, i = = A
210 210

3
V01 = 7 + 10i = 7 + 10 = 7.14 volts
210

When Vi = 16V, Applying KVL in the loop,


9 -Vi + V + 0 = 0
i= amp
210 V = Vi
 9  V = -(I – 1) × 1 = 1 – I
V02 = 7 + 10   = 7.43 volts
 210  I = 1 – Vi

16
www.gradeup.co

For diode to be ON, current I must be Option V Status


positive, (A) min (vi, 1) 1V Correct
i.e., I > 0
(B) max (Vi, 1) 1.5 V Wrong
1 – Vi > 0 ⇒ Vi < 1 V
(C) min (-Vi, 1) -1.5 V Wrong
Hence, for diode to be ON, the voltage Vi
(D) max (-Vi, 1) 1V Correct
must be less then 1 V.
If Vi = 0.5V, then V = 0.5 volt
Option V Status Hence, from the Case 1 and Case 2, it is

(A) min (vi, 1) 0.5 V Correct clear that option (a) is common for both
cases.
(B) max (Vi, 1) 1V Wrong
11. It is voltage doubler circuit in which C 1 will
(C) min (-Vi, 1) -0.5 V Wrong
be charged to maximum value of input that
(D) max (-Vi, 1) 1V Wrong
is 1V.
So, v(t) = (cos ωt – 1)
Case 2 : Assume diode is OFF
12.

Hence, diode can be replaced by open-


circuit.
Is = I z + I L
⇒ V = 1 × 1 = 1 volt
Applying KVL in the loop Is – Iz = IL

-Vi + V + VD = 0 Two extreme condition:

V D = Vi – V = Vi – 1 If Iz(min), then IL (max)


For diode to be OFF, VD must be positive, If Iz(max) then IL (min) = 0
i.e., 10 − 5
Iz(max) = Is = = 50 mA
VD > 0 V 10
Vi – 1 > 0 Iz(min) = Is-IL(max)
Vi > 1 V IL (max) = Is - Iz (min) = Is -Iz = (50 - 10)
Hence, for diode to be OFF, Vi must be = 40 mA
greater than 1 V and V must be equal to 1 V 5
RL (min) = = k = 125
volt. IL (max ) 40
If Vi = 1.5 volt and V = 1 volt
Pz = Vz × Iz (max) = 5 × 50 mA = 250 mw

17
www.gradeup.co

13. ‘D’ 0 for all +


 V0 (max ) =
(5 − 0.7 − 2) 1k + 0.7 + 2V
1k + 1k
= 3.85 V
Idc
16. Vdc = Vm −
4fc
Idc
IdcRL = Vm −
4fc
 1 
Note: Idc R L +  = Vm
 4fc 
⇒ All diode conducts only in negative half
10
cycle.  Idc =
1
⇒ XW is at symmetrical point so voltage 100 +
4  50  4  10−2
across XW is zero for all time.
=0.09 A
14. Assume both the diode ON
17. When Vi < -1.7 V; D1 – ON and D2 – OFF
Then circuit will be as per figure (2)
∴ V0 = -1.7 V
When Vi > 2.7 Vi D1 – OFF & D2 – ON
∴ V0 = 2.7 V
When – 1.7 < Vi < 2.7 V, Both D1 & D2 OFF
∴ V 0 = Vi
18.

Consider +ve half cycle,

10 − 0.7
I= = 9.3 mA
1k
0.7 − 0.3
ID2 = = 20 mA
20
Now, ID1 = I – ID2
= - 10.7 mA (Not Possible)
∴ D1 is OFF and hence D2 – ON Both the diodes are forward bias.
15. When Vi makes Diode ‘D’ OFF,
V 0 = Vi
∴ V0(min) = -5 V
When Vi makes diode ‘D’ ON,

V0 =
( Vi − 0.7 − 2) + V + 2V
on
R1 + R 2 Vo = -Vi

18
www.gradeup.co

Using voltage divider Rule,

1
Consider –ve half cycle Vo = 10  = 5 volt
1+1
Both the diodes are reverse biased.
Since Vo < Vz
Zener wouldn’t breakdown
Output voltage
Vo = 5 volt
20. Case (i) : If ay input is logic 0 (i.e., 0V)
then corresponding diode is ’ON’ and due
to ideal diode output voltage Vo = 0 as
well as if there is any input logic 1 (i.e.,
10V) corresponding diode will be OFF.
Case (ii) : If all the inputs are high (i.e.,
10V) then all the diodes are R.B. (OFF) and
output voltage Vo = 10V.
So, it is a positive logic 3 – inputs AND
gate.
21.

19.

Load I:
P = 10 kw
Cos ϕ = 0.8
Q = P tan ϕ = 7.5 kVAR

19
www.gradeup.co

Load II : SII = 10kVA 24.


Si = P – jQ = 10 – j7.5 kVA
Q
cos  = 0.8, sin  =
S
P
cos  =
SII
Diodes are ideal therefore during Positive
P
0.8 = → P = 8kW Q = 6 KVAR
10 cycle of output voltage

S1 = P + jQ = 8 + j6 (V0) = 10 – 10 = 0V

Complex power delivered by the source is During Negative cycle, the diodes are

S1 + SII = 18 – j1.5 kVA Reverse biased so output

22. The circuit works as a voltage doubler. (V0) = 0V

Vab = 2 × 50 = 100 volt ∴ V0 = 0 V (always)

23. At t = 0- So, the output voltage v0(in volt) at steady


state is 0V.
25. The input voltage is given by
Vi(t) = 2cos 200 t + 4sin 500 t
Let us apply Superposition theorem only
consider 2cos200t, then circuit becomes

10
( )
iL 0− =
1
= 10A

For t > 0 (using Laplace transform)

So, V’0(t) = 2cos200t


Now consider only 4sin500t, then circuit
becomes
So, again V’’0(t) = 4sin 500t
−3
10  10
I (s) = Finally (according to superposition
106
10−3 s + theorem)
10s
106
Vc ( s ) = I ( s ) 
10s
106
Vc ( s ) = 2
s + 108
Taking inverse Laplace transform, we get
Vc(t) = 100 sin104tV (∴ Vc(t) = v0sinωt)
V0(t) = V’0(t) + V’’0(t)
∴ Steady state magnitude voltage across
∴ V0(t) = 2cos(200t) + 4sin (500t)
capacitor is 100 V.

20
www.gradeup.co

26. Peak value of the applied sine-wave, Vm =


10V.
Vm 10
Reading of meter, V0 = = = 3.183V .
 
28. Given : Vr = 0.7 V, Vz = - 6.8 V, 10 τ < <
T.
T
Case 1 : when t  0 
2
From the above fig, it is clear that
Diode is in forward bias and hence it can
Both Zener diodes are in reverse biased
be replaced by 0.7 V. Zener diode is
VBZ1 = 80 V
reverse biased and because voltage across
VBZ2 = 70 V
zener diode is more than breakdown
D1 have least saturation current
region. Therefore, it can be replaced by its
When we will vary the voltage above 80 V
breakdown voltage.
D1 get breaks down & will replaced by 80
V & through it ‘infinite’ current can flow
through it.
But because of D2 we will take minimum
current i.e. net current equals to reverse
saturation current of D2 as we know.
(V0)max = 0.7 + 6.8 = 7.5 V
Applying KVL in loop, -14 + Vc + 7.5 = 0
⇒ Vc = 6.5 V
T
At t = , capacitor will charge upto 6.5 V
2
due to small time constant.
T
Case 2 : when tT
2
Diode is in reverse bias and hence it can
The diode have least saturation will break
be replaced by open-circuit. Zener diode is
down first and it will replaced by its break
forward biased and therefore it will act as
down voltage and the net current equal normal diode. Hence, it can be replaced by
upto other diode reverse saturation short-circuit. Applying KVL,
current.
27. The given circuit is a halfwave rectifier.
Volmeter reads the average value of V0.
Vm
Average value of V0 = .

21
www.gradeup.co

-Vin + Vc + V0 = 0 30. Given : Vz = Vo = 5V, IZk = 2 mA,


14 + 6.5 + V0 = 0 Vi = 6  5% of 6V
(V0)min = -20.5 V Vi = 6 ± 0.3 V
29. The small – signal equivalent model of the
given circuit is given below.

Voltage regulated output = 5 V


Load current,
When data, ω = 2 × 106 rad/sec
V 5
Ci = 0.5 nF IL = = = 5 mA
RL 1
IDC = 26 μA
Input voltage,
VT = 26 mV
Vi  5.7, 6.3 V
=1
Vin − Vz
VT 26mV  Iin =
Now, rd = = = 1k R
IDC 26A
( Vin )min − Vz
1
=
1
 = 1k
(Iin )min =
Rmin
Cj 2  10  0.5  10−9
6

∴ Total impedance of the circuit ( Vin )max − Vz


(Iin )max =
Rmax
 1 
Z =  rd ||  + 100
 jCj   (Iin )min = (Iz )min + (IL )fixed = 2 + 5 = 7mA

 1  (1000 ) ( − j1000 ) − j (1 + j) 5.7 − 5


 rd || = = k R min = = 100
 jCj  1000 − j1000 2 7
1  (Iin )max = (Iz )max + (IL )fixed
=
2
(1 − j) k = (500 − j500 ) 
∴ Z = [(500 + j500) + 100] Ω
( Vin )max − Vz 6.3 − 5
= = = 13 mA
R 100
∴ Z = 600 – j 500 Ω
(Iz)max + 5 = 13
(600) + (500)
2 2
(Iz)max = 8 mA

Now magnitude is Therefore, minimum power rating of diode,

| Z |= 100 36 + 25 = 100 61  i.e., maximum power dissipation


= Vz × (Iz)max = 5 × 8 = 40 mW
Now,
2Vm
vm 5mV 50 31. In full wave rectifier, VDC =
Im = = = A = 6.40 A 
| Z | 100 61 61

22
www.gradeup.co

23
www.gradeup.co

32. Full wave rectifier is Case (i) :


For +ve half cycle diode is reverse bias :
(open circuited)

Because
(1) during + ve cycle of input

R2 50
VL = .vs =  8V = 4V
R1 + R 2 100

Case (ii) : (Close circuited)


For negative half cycle diode is forward
(2) during –ve cycle of input bias and ideally acts as short circuit.

In both cases current passes through RL is


in same direction (rectification)
IDc .T
33. Vripple =
C
Here T = Time period
C = Filter capacitor
1  1  10−3
∴ Vripple = = 2.105 Volts
475  10−6
34.

VL = VS = - 10 V
Output Waveform
T  T
4V  +  −10V  
2  2
Vavg = = 2V − 5V = −3V
T
∴ Vavg = -3V

24
www.gradeup.co

35. Given : T = 4 ms, R = 500 Ω, C = 10 μF  −t


  2ms

 VC = VC (  ) 1 − eRC  = 10 1 − e5ms 
Now, τ = RC = 500 × 10 × 10-6 s = 5 ms    
T 4ms
And = = 2ms  2

2 2 = 10 1 − e5  = 4.51 V
 
∴ VC = 3.31 V
36. The circuit shown is a voltage doubler. So
Vo = 2Vm

So the peak value at ‘P’ m 2Vm, Then the


voltage across C2 which is 2Vm.

∴ Vo = 2Vm where = 325.27V


For positive half cycle, diode will be is
Vo = 650.5V
forward biased and capacitor start to
charge

❖❖❖❖

25
2
www.gradeup.co

BJT & FET Analysis


B

Analysis of Previous GATE Papers

2017 Set 1

2017 Set 2

2016 Set 1

2016 Set 2

2016 Set 3

2015 Set 1

2015 Set 2

2015 Set 3
2020

2019

2018
Year →
Topic ↓

MCQ Type 1 1
1 Mark
Numerical Type
Small Signal
Equivalent MCQ Type 1 1 1 1
Circuits 2 Marks
Numerical Type 1 1

Total 4 1 1 4 2 2

MCQ Type 1 1
1 Mark
Numerical Type 2

Analysis &
MCQ Type 2 1
Biasing
2 Marks
Numerical Type 3 1 2 1 1

Total 4 6 2 4 2 1 1 2 2 2

26
www.gradeup.co

SMALL SIGNAL EQUIVALENT CIRCUIT

1. The current gain of a bipolar transistor


drops at high frequencies because of
A. transistor capacitances
B. high current effects in the base
C. parasitic inductive elements
D. the Early effect
A. (2V, 2mA) B. (3V, 2mA)
[2000 : 1 Mark]
2. In the circuit of the figure, assume that the C. (4V, 2mA) D. (4V, 1mA)

transistor is in the active region. It has a [2003 : 2 Marks]

large β and its base-emitter voltage is 0.7 5. Assuming VCEsat = 0.2 V and β = 50, the

V. The value of IC is minimum base current (iB) required to


drive the transistor in the figure to
saturation is

A. indeterminate since RC is not given


B. 1 mA A. 56 μA B. 140 μA

C. 5 mA C. 60 μA D. 3 μA

D. 10 m A [2004 : 1 Mark]

[2000 : 2 Marks] 6. Under the DC conditions, the collector-to-


3. The current gain of a BJT is : emitter voltage drop is
gm A. 4.8 Volts B. 5.3 Volts
A. gmr0 B.
r0 C. 6.0 Volts D. 6.6 Volts
𝑔𝑚
C. gmrπ D. [2006 : 2 Marks]
𝑟𝜋

[2002 : 1 Mark] 7. If βac is increased by 10%, the collector-

4. In the amplifier circuit shown in the figure, to-emitter voltage drop

the values of R1 and R2 are such that the A. increases by less than or equal to 10%

transistor is operating at VCE = 3 V and IC B. decreases by less than or equal to 10%

= 1.5 mA when its β is 150. For a C. increases by more than 10%


transistor with β of 200, the operating D. decreases by more than 10%
point (VCE, IC) is ; [2006 : 2 Marks]

27
www.gradeup.co

8. The small-signal gain of the amplifier Vc/Vs


is
A. -10 B. -5.3
C. 5.3 D. 10
[2006 : 2 Marks]
9. The DC current gain (β) of a BJT is 50.
Assuming that the emitter injection
A. v0(t) = -1500(Acos20t + Bsin 106t)
efficiency is 0.995, the base transport
B. v0(t) = -150(Acos20t + B sin 106)
factor is
C. v0(t) = -1500 Bsin 106t
A. 0.980 B. 0.985 D. v0(t) = -150Bsin 106t
C. 0.990 D. 0.995 [2009 : 2 Marks]
[2007 : 2 Marks] 13. In the silicon BJT circuit shown below,
Statement for Linked Answer assume that the emitter area of transistor
Questions 10 and 11 : In the following Q1 is half that of transistor Q2.
transistor circuit, VBE = 0.7 V, re = 25 m
V/IE, and β and all the capacitances are
very large.

The value of current I0 is approximately


A. 0.5 mA B. 2 mA
C. 9.3 mA D. 15 mA
[2010 : 1 Mark]
14. The amplifier circuit shown below uses a
10. The value of DC current IE is silicon transistor. The capacitor CC and CE
A. 1 mA B. 2 mA can be assumed to be short at signal
C. 5 mA D. 10 mA frequency and the effect of output
[2008 : 2 Marks] resistance r0 can be ignored. If CE is
11. The mid-band voltage gain of the amplifier disconnected from the circuit, which one of
is approximately the following statements is TRUE?
A. -180 B. -120
C. -90 D. -60
[2008 : 21 Marks]
12. A small signal source Vi(t) = Acos20t +
Bsin106t is applied to a transistor amplifier
as shown below. The transistor has β =
150 and hie = 3 k Ω. Which expression best
approximates v0(t) ?

28
www.gradeup.co

A. The input resistance Ri increase and the 17. The current ib through the base of a silicon

magnitude of voltage gain AV decreases npn transistor is 1 + 0.1cos (10000 t )

B. The input resistance RL decreases and mA. At 300 K, the r in the small signal

the magnitude of voltage gain AV increases model of the transistor is

C. Both input resistance Ri and the

magnitude of voltage gain AV decrease

D. Both input resistance Ri and the

magnitude of voltage gain AV increase

[2010 : 1 Mark]

Common Data for Question 15 and 16 :

Consider the common emitter amplifier A. 250 Ω B. 27.5 Ω


shown below with the following circuit C. 25 Ω D.22.5 Ω

parameters : [2012 : 1 Marks]

β = 100, gm = 0.3861 A/V, r0 = ∞, 18. An increase in the base recombination of a


BJT increase
r = 259 
A. the common emitter dc current gain β
RS = 1 kΩ, RB = 93 k Ω, RC = 250 Ω, RL =
B. the breakdown voltage VCEO
1 k Ω, C1 = ∞ and C2 = 4.7 μF.
C. the unity-gain cut-off frequency fT
D. the transconductance gm
[2012 : 2 Marks]
19. In the circuit shown, I1 = 80 mA and I2 = 4
mA. Transistor T1 and T2 are identical.
Assume that the thermal voltage VT is 26
mV at 27°C. At 50°C the value of voltage
V12 = V1 – V2 (in mV) is

15. The resistance seen by the source Vs is

A. 250 Ω B. 1258 Ω

C. 93 kΩ D. ∞

[2010 : 2 Marks]

16. The lower cut-off frequency due to C2 is

A. 33.9 Hz B. 27.1 Hz

C. 13.6 Hz D. 16.9 Hz

[2010 : 2 Marks]
[2014 : 1 Mark, Set-2]

29
www.gradeup.co

20. In the ac equivalent circuit shown, the two 23. Zi and Z0 of the circuit are respectively
BJTs are biased in active region and have A. 2 MΩ and 2 kΩ
indentical parameters with   1 . The 20
B. 2 MΩ and k
open circuit small signal voltage gain is 11

approximately……….. . C. infinity and 2 MΩ


20
D. infinity and k
11
[2005 : 2 Marks]
24. I0 and VDS under DC conditions are
respectively
A. 5.625 mA and 8.75 V
B. 7.500 mA and 5.00 V
C. 4.500 mA and 11.00 V
D. 6.250 mA and 7.50 V
[2015 : 2 Marks, Set-1] [2005 : 2 Marks]
21. Two identical FETs, each characterized by 25. Transconductance in milli-Siemens (mS)
the parameters gm and rd are connected in and voltage gain of the amplifier are
parallel. The composite FET is than respectively
characterized by the parameters A. 1.875 mS and 3.41
gm gm r B. 1.875 mS and -3.41
A. and 2rd B. and d
2 2 2 C. 3.3 mS and -6
rd D. 3.3 mS and 6
C. 2gmand D. 2gm and 2rd
2 [2005 : 2 Marks]
22. The action of a JFET in its equivalent circuit 26. The small – signal resistance (i.e., dVB/dID)
can best be represented as a in k Ω offered by the rf-channel MOSFET M
A. Current Controlled Current Source shown in the figure below, at a bias point
B. Current Controlled Voltage Source of VB = 2V is (device data for M1 device
C. Voltage Controlled Voltage Source transconductance parameter kN = μn Cox
D. voltage Controlled Current Source (W/L) = 40 μA/V2, threshold voltage V TN =
[2015 : 2 Marks, Set-2] 1V, and neglect body effect and channel

Common Data Questions 23, 24 & 25. length modulation effects)

Given, rd = 20 kΩ, IDSS = 10 mA, Vp = -8 V

A. 12.5 B. 25
C. 50 D. 100
[2013 : 2 Marks]

30
www.gradeup.co

27. In the circuit shown in the figure, transistor 29. An n-channel enhancement mode MODFET
M1 is in saturation and has is biased at VGS > VTH and VDS > (VGS –
transconductance gm = 0.01 Siemens. VTH), where VGS is the gate-to-source
Ignoring internal parasitic capacitance and voltage. VDS is the drain-to-source voltage
assuming the channel length modulation λ and VTH is the threshold voltage.
to be zero, the small signal input pole Considering channel length modulation
frequency (in kHz) is …………….. . effect to be significant, the MOSFET
behaves as a
A. Voltage source with zero output
impedance
B. voltage source with non-zero output
impedance
C. current source with infinite output
impedance

[2016 : 2 Marks, Set-3] D. current source with infinite output

28. In the circuit shown in the figure, the impedance

channel length modulation of all transistor [2017 : 1 Mark, Set-2]


30. Two identical nMOS transistors M1 and M2
is non-zero (   0) Also, all transistors
are connected as shown below. The circuit
operate in saturation and have negligible
is used as an amplifier with the input
body effect. The ac small signal voltage
connected between G and S terminals and
gain (V0/Vin) of the circuit is
the output taken between D and S
terminals, Vbias and VD are so adjusted that
both transistors are in saturation. The
transconductance of this combination is
iD
defined as gm = while the output
VGS

resistance is drain of M2. Let gm1, gm2 be


the transconductances and r01, r02 be the
output resistance of transistors M 1 and M2
A. −gm1 (r01 || r02 || r03 ) respectively

 1 
B. −gm1  r01 r03 
 gm3 
 

 1 
C. −gm1  r01 r02 || r03 
 gm2 
 

 1 
D. −gm1  r01 r03 || r02 
 gm3 
 
[2016 : 2 Marks, Set-3]

31
www.gradeup.co

Which of the following statements about A. CB-LO, CC-MO, CE-HI


estimates for gm and r0 is correct? B. CB-LO, CC-HI, CE-MO
A. gm = gm1 . gm2 . r02 and r0 = r01 + r02 C. CB-MO, CC-HI, CE-LO
B. gm = gm1 + gm2 and r0 = +r02
D. CB-HI, CC-LO, CE-MO
C. gm = gm1 and r0 = r01. Gm2 . r02
[2003 : 1 Mark]
D. gm = gm1 and r0 = r02
34. Assuming that the β of the transistor is
[2018 : 1 Mark]
extremely large and VBE = 0.7 V, IC and VCE
ANALYSIS & BIASING in the circuit shown in the figure are

31. Introducing a resistor in the emitter of a


common emitter amplifier stabilizes the dc
operating point against variations in
A. only the temperature
B. only the β of the transistor
C. both temperature and β
D. None of the above
[2000 : 1 Mark]
32. If the transistor in the figure is in
saturation then
A. IC = 1 mA, VEC = 4.7 V
B. IC = 0.5 mA, VCE = 3.75 V
C. IC = 1 mA, VCE = 2.5 V
D. IC = 0.5 mA, VCE = 3.9 V
[2004 : 2 Marks]
35. For an npn transistor connected as shown
in the figure, VBE = 0.7 volts. Given that

A. IC is always equal to βdc IB reverse saturation current of the junction

B. IC is always equal to - βdc IB at room temperature 300 K


C. IC is greater than or equal to βdc IB
D. IC is less than or equal to βdc IB
[2002 : 1 Mark]
33. Choose the correct match for input
resistance of various amplifier
configurations shown below
Configuration
CB : Common Base, A. 30 mA B. 39 mA
CC : Common Collector
C. 49 mA D. 20 mA
CE : Common Emitter
[2005 : 2 Marks]
Input resistance
LO : Low MO : moderate, HI : High

32
www.gradeup.co

33
www.gradeup.co

36. The circuit using a BJT with β= 50 and VBE 38. The voltage gain Av, of the circuit shown

=0.7 V is shown in the figure. The base below is

current IB and collector voltage VC are

respectively

A. |Av| = 200 B. |Av| = 100


C. |AV| = 20 D. |Av| = 10
[2005 : 2 Marks]
A. 43 μA and 11.4 Volts 39. In the circuit shown below, the silicon npn
B. 40 μA and 16 Volts transistor Q has a very high value of β. The
required value of R2 in k Ω to produce IC =
C. 45 μA and 11 volts
1 mA is
D. 50 μA and 10 Volts

[2005 : 2 Marks]

37. For the BJT Q1 in the circuit shown below,

β = ∞, VBEon = 0.7 VCEsat = 0.2 V. The

switch is initially closed. At time t = 0, the

switch is openend. The time t at which Q1

leaves the active region is

A. 20 B. 30
C. 40 D. 50
[2005 : 2 Marks]
40. A good current buffer has
A. low input impedance and low output
impedance
B. low input impedance and high output
impedance
C. high input impedance and low output
A. 10 ms B. 25 ms impedance
C. 50 ms D. 100 ms D. high input impedance and high output
impedance
[2005 : 1 Mark]
[2006 : 2 Marks]

34
www.gradeup.co

41. For the amplifier shown in the figure, the A. For RC = 1 kΩ , the BJT operates in the
BJT parameters are VBE = 0.7 V, β = 200 , saturation region
and thermal voltage VT = 25 mV. The B. For RC = 1 kΩ, the BJT operates in the
voltage gain (V0/Vi) of the amplifier is saturation region
…………….
C. For RC = 20 k Ω, the BJT operates in the
cut-off region
D. For RC = 20 kΩ, the BJT operates in the
linear region
[2006 : 1 Mark]
44. If the emitter resistance in a common-
emitter voltage amplifier is not by passed,
it will
A. reduce both the voltage gain and the
42. In the circuit shown, the PNP transistor has
input impedance
|VBE| = 0.7 V and β = 50. Assume that RB
B. reduce the voltage gain and increase
= 100 k Ω. For V0 to be 5 V, the value of
the input impedance
RC (in k Ω) is ………….. .
C. increase the voltage gain and reduce
the input impedance
D. increase both the voltage gain and the
input impedance
[2007: 2 Marks]
45. Consider the common-collector amplifier in
the figure (bias circuit ensures that the
transistor operates in forward active
[2006 : 2 Marks] region, but has been emitted for
43. In the circuit shown, the silicon BJT has β
simplicity). Let IC be the collector current,
= 50. Assume VBE = 0.7 V and VCE(sat) =
VBE be the base-emitter voltage and VT be
0.23 V. Which one of the following
the thermal voltage. Also, gm and r0 are
statements is correct?
the small-signal transconductance and
output resistance of the transistor,
respectively. Which one of the following
conditions ensures a nearly constant small
signal voltage gain for a wide range of
values of RE?

35
www.gradeup.co

49. The Ebers-Moll model of a BJT is valid


A. gmRE < < 1 B. ICRE > > VT A. only in a active mode
C. gmr0 > > 1 D. VBE > > VT B. only in active and saturation modes
46. For the common collector amplifier shown C. only in active and cut-off modes
in the figure, the BJT has high β, negligible
D. in active, saturation and cut-off modes
VCE(sat), and VBE = 0.7 V. The maximum
[2009:2 Marks]
undistorted peak-to- peak output voltage
50. Resistor R1 in the circuit below has been
v0 (in Volts) is ………….. .
adjusted so that I1 = 1 mA. The bipolar
transistors Q1 and Q2 are perfectly
matched and have very high current gain,
so their base currents are negligible. The
supply voltage Vcc is 6 V. The thermal
voltage kT/q is 26 mV.

47. A BJT in a common-base configuration is


used to amplify a signal received by a 50 Ω
antenna. Assume kT/q = 25 mV. The value
of the collector bias current (in mA)
required to match the input impedance of
the amplifier to the impedance of the The value of R2 (in Ω) for which I2 = 100

antenna is ……………….. . μA is.


[2009:2 Marks] [2011 : 2 Marks]
48. In the circuit shown in the figure, the BJT 51. Consider the circuit shown in the figure.
has a current gain (β) of 50. For an emitter Assuming VBE1 = VEB2 = 0.7 volt, the value
base voltage VEB = 600 mV, the emitter of the dc voltage VC2 (in volt) is
collector voltage VEC (in Volts) is ……………….
…………………. .

36
www.gradeup.co

54. The JFET in the circuit shown in fig. has an


IDSS = 100 mA and VP = —5 V. The value
of the resistance Rs for a drain current IDS
= 6.4 mA is (Select the Nearest value.)

[2011 : 2 Marks]
52. In the figure shown, the npn transistor acts
as a switch

A. 150 ohms B. 470 ohms


C. 560 ohms D. 1 Kilo ohm
[2012 : 2 Marks]
55. The transit time of a current carriers
through the channel of an FET decides it
For the input Vin(t) as shown in the figure, ……………… characteristics.
the transistor switches between the cut-off [2013 : 1 Mark]
and saturation regions of operation, when 56. An n-channel JFET has IDSS = 1 mA and VP
T is large. Assume collector-to-emitter = —5 V. Its maximum transconductance is
voltage at saturation VCE(sat)= 0.2V and
………………… .
base-to-emitter voltage VBE = 0.7 V. The
[2014 : 2 Marks, set-1]
minimum value of the common- base
57. Consider the following statements in
current gain (α) of the transistor for the
connection with the CMOS inverter in the
switching should be …………. .
figure, where both the MOSFETs are of
[2012:2 Marks]
enhancement type and both have a
53. Consider the circuit shown in the figure.
threshold voltage of 2 V.
Assume base-to-emitter voltage VBE = 0.8
Statement 1: T1 conducts when Vi > 2 V.
V and. common- base current gain (α) of
Statement 2 : T1 is always in saturation
the transistor is unity. The value of
when V0 = 0V.
collector-to-emitter voltage VCE (in Volt) is
………… .

[2012 : 2 Marks]

37
www.gradeup.co

Which of the following is correct? A. VGS = -6 Volts B. VGS = —3 Volts


A. Only Statement 1 is TRUE C. VGS = 0 Volts D. VGS = 3 volts
B. Only Statement 2 is TRUE [2014 : 1 Mark, set-1]
C. Both the statements are TRUE 60. In the CMOS inverter circuit shown, if the

D. Both the statements are FALSE transconductance parameters of the NMOS

[2014:1 Mark, Set-1] and PMOS transistors are


𝑊𝑛 𝑊𝑃
58. For an n-channel MOSFET and its transfer 𝐾𝑛 = 𝐾𝑝 = 𝜇𝑛 𝐶𝑜𝑥 = 𝜇𝑝 𝐶𝑜𝑥 = 40𝜇𝐴/𝑉 2
𝐿𝑛 𝐿𝑃
curve shown in the figure, the threshold
And their voltages are VTHn = |VTHp| = 1V,
voltage is
the current I is

A. 0 A B. 25 μA
C. 45 μA D. 90 μA
[2014 : 2 Marks, Set-2]
61. Two identical NMOS transistors M1 and M2
are connected as shown below. Vbias is
A. 1 V and the device is in active region
chosen so that both transistors are in
B. —1V and the device is in saturation
saturation. The equivalent gm of the pair is
region 𝜕𝐼𝑜𝑢𝑡
defined to be at constant Vout
𝜕𝑉𝑖
C. 1 V and the device is in saturation
region
D. —1V and the device is in active region
[2014: 2 Marks, Set-1]
59. An n-channel depletion MOSFET has
following two points on its ID — VGS curve:
(i) VGS = 0 at ID = 12 mA and
(ii) VGS = -6 Volts at Z0 = ∞
Which of the following Q-points will give
the highest trans-conductance gain for
small signals? The equivalent gm of the pair is

38
www.gradeup.co

A. the sum of individual gm of the


transistors
B. the product of individual gm's of the
transistors
C. nearly equal to the gm of M1
D. nearly equal to gm/g0 of M2
[2014: 2 Marks, Set-2]
62. For the circuit shown in the following 63. For small increase in V G beyond 1V, which
figure, transistors M1 and M2 are identical of the following gives the correct

NMOS transistors. Assume that M2 is in description of the region of operation of

saturation and the output is unloaded. each MOSFET?


A. Both the MOSFETs are in saturation
region
B. Both the MOSFETs are in triode region
C. n-MOSFET is in triode and p-MOSFET is
in saturation region
D. n-MOSFET is in saturation and p-
MOSFET is in triode region
[2014: 2 Marks, Set-3]
64. Estimate the output voltage V0 for VG = 1.5
V.
The equivalent gm of the pair is  1   1 
A.  4 − V B.  4 + V
A. Ix = Ibias + Is  2  2
B. Ix = Ibias  3  3
C.  4 − V D.  4 + V
C. Ix = Ibias – Is  2   2 
 
 V  [2014 : 2 Marks, Set-3]
D. Ix = Ibias −  VDD − out 
 RE  65. In the circuit shown below, for the MOS
[2014:1 Mark, Set-3] transistors, μ0 Cox = 100 μ A/V2 and the
Statement for Linked Answer Question threshold voltage VT = 1V. The voltage Vx
63 and 64. at the source of the upper transistor is
Consider the CMOS circuit shown, where
the gate voltage VG of the n-MOSFET is
increased from zero, while the gate voltage
of the p-MOSFET is kept constant at 3 V.
Assume that, for both transistors, the
magnitude of the threshold voltage is 1 V
and the product of the transconductance
parameter and the (W/L) ratio, i.e. the A. 1 V B. 2 V
quantity µ𝑛 C0X(W/L), is 1 mA—V-2. C. 3 V D. 3.67 V
[2014 : 2 Marks, Set-4]

39
www.gradeup.co

66. In the CMOS circuit shown, electron and A. 4 V, 3 V, 2 V


hole mobilities are equal, and M1 and M2 B. 5 V, 5 V, 5 V
are equally sized. The device M 1 is in the C. 4 V, 4 V, 4 V
linear region if D. 5 V, 4 V, 3 V
[2015 : 2 Marks, Set-1]
69. For the n-channel MOS transistor shown in
figure, the threshold voltage V Th is 0.8 V.
Neglect channel length modulation effects.
When the drain voltage VD = 1.6 V, the
drain current ID was found to be 0.5 mA. If
VD is adjusted to be 2 V by changing the
values of R and VDD, the new value of ID (in
mA) is

A. Vin < 1.875 V


B. 1.875 V < Vin < 3.125 V
C. Vin > 3.125 V
D. 0 < Vin < 5 V
[2014 : 2 Marks, Set-4]
67. In a MOSFET operating in the saturation
region, the channel length modulation
effect causes
A. 0.625 B. 0.75
A. an increase in the gate-source
C. 1.125 D. 1.5
capacitance
[2016 : 1 Mark, Set-2]
B. a decrease in the transconductance
C. a decrease in the unity-gain cut-off 70. For the MOSFET shown in the figure, the

frequency threshold voltage |Vt| = 2 V and


D. a decrease in the output resistance 1 𝑊
𝐾 = 𝜇𝐶𝑂𝑋 ( ) = 0.1𝑚𝐴/𝑉 2
2 𝐿
[2014:1 Mark, Set-4]
The value of ID (in mA) is ……… .
68. In the following circuit employing pass
transistor logic, all NMOS transistors are
identical with a threshold voltage of 1V.
Ignoring the body-effect, the output
voltages at P, Q and R are,

[2016 : 1 Marks, Set-3]

40
www.gradeup.co

71. For the MOSFET M1 shown in the figure, 73. What is the voltage Vout in the following
assume W/L = 2, VDD = 2.0 V, μn Cox = 100 circuit?
μA/V2 and VTH = 0.5 V. The transistor M1
switches from saturation region to linear
region when Vin (in volts) is ……… .

A. 0 V
B. (|VT of PMOS| + VT of NMOS) /2
[2016 : 1 Mark, Set-1] C. Switching threshold of inverter
72. In the circuit shown, both the enhancement D. VDD
mode NMOS transistors have the following [2017 : 2 Marks, Set-1]
characteristics: Kn = μnCoX(W/L) = 1 mA/V 2
74. For the circuit shown, assume that the
VTN = 1V. Assume that the channel length NMOS transistor is in saturation. Its
modulation parameter λ is zero and body is threshold voltage Vin = 1 V and its
shorted to source. The minimum supply transconductance parameter
voltage VDD (in volts) needed to ensure
W
nCox   = 1 mA / V2 . Neglect channel
that transistor M1 operates in saturation L 
mode of operation is ……………….. . length modulation and body bias effects.
Under these conditions, the drain current
ID in mA is ………… .

[2016 : 1 Mark, Set-3] [2017 : 2 Marks, Set-1]

41
www.gradeup.co

42
www.gradeup.co

75. Assuming that transistors M1 and M2 are For VDS  VGS − VT


identical and have a threshold voltage of 1 W
IDS = Cox   ( vGS − VT ) / 2 for VDS  VGS − VT
2

V, the state of transistors M1 and M2 are L


 

respectively The voltage (in volts, accurate to two


decimal places) at Vx is ……… .
[2018 ; 2 Marks]
77. The figure shows the high-frequency C-V
curve of a MOS capacitor (at T = 300 k)
with ϕms = 0V and no oxide charges. The
conditions are represented, respectively,
by the points.

A. Saturation, Saturation
B. Linear, Linear
C. Linear, Saturation
D. Saturation, Linear
[2017 : 2 Marks, Set-2]
A. R, P, Q B. Q, P, R
76. In the circuit shown below, the (W/L) value C. P, Q, R D. Q, R, p
for M2 is twice that for M1. The two nMOS 78. In the circuit shown, the threshold voltages
transistors are otherwise identical. The of the pMOS( |Vtp|) and nMOS (Vtn)
threshold voltage for both transistors is 1.0 transistors are both equal to 1 V. All the
V. Note that VGS for M2 must be > 1.0 V. transistors have the same output
resistance rds of 6 MΩ. The other
parameters are listed below:

W
nCox = 60A / V2 ;   =5
 L nMOS

W
pCox = 30A / V2 ;   = 10
 L pMOS

n and p are the carrier mobilities, and

Cox is the oxide capacitance per unit area.


Ignoring the effect of channel length
Current through the NMOS transistors can
modulation and body bias, the gain of the
be modelled as
circuit is …………….. (rounded off to 1
W 1 2 
IDS = Cox  L   ( vGS − VT ) VDS − 2 VDS  decimal place).
  

43
www.gradeup.co

W W
[2019 : 2 Marks] The ratio of   to   is equal to ……..
 L n  L p
79. In the circuits shown, the threshold voltage
(rounded off to three decimal places).
of each NMOS transistor is 0.6V. Ignoring [2019 : 2 marks]
the effect of channel length modulation 81. In the circuit shown, V1 = 0 and V2 = Vdd.
and body bias, the values of Vout1 and Vout2, The other relevant parameters are
respectively, in volts, are mentioned in the figure. Ignoring the effect
of channel length modulation and the body
effect, the value of Iout is …………mA
(rounded off to one decimal place).

A. 2.4 and 1.2 B. 2.4 and 2.4


C. 1.8 and 1.2 D. 1.8 and 2.4
[2019 : 2 Marks] [2019 : 2 Marks]
80. A CMOS inverter, designed to have a mid- 82. For the BJT in the amplifier shown below,
point voltage V1 equal to half of Vdd, as VBE = 0.7 V, kT /q= 26 mV. Assume that
shown in the figure, has the following BJT output resistance (ro) is very high and

parameters : the base current is negligible. The


capacitors are also assumed to be short
Vdd = 3 V
circuited at signal frequencies. The input
μn Cox = 100 μA / V2; Vtn = 0.7 V for nMOS
μp Cox = 40 μA / V2; |Vtp| = 0.9 V for pMOS is direct coupled. The low frequency

voltage gain of the amplifier is

44
www.gradeup.co

84. An enhancement MOSFET of threshold


voltage 3 V is being used in the sample
and hold circuit given below. Assume that
the substrate of MOS device is connected
to -10 V. If the input voltage V1 lies

between 10 V, the minimum and the


maximum values of VG required of proper
sampling and holding respectively, are

A. -89.42 B. -178.85
C. -128.21 D. -256.42
[2020 : 2 Marks]
83. Using the incremental low frequency small-
signal model of the MOS device, the Norton
A. 10 V and –10 V.
equivalent resistance of the following
B. 10 V and –13 V.
circuit is
C. 13 V and –7V.
D. 3V and –3V.
[2020 : 2 Marks]
85. In the voltage regulator shown below, V1 is
the unregulated at 15 V. Assume VBE = 0.7
V and the base current is negligible for
both the BJTs. If the regulated output Vo is

9 V, the value of R2 is ……. .

A.

B.

C.

D.
[2020 : 2 Marks]
[2020 : 2 Marks]

45
www.gradeup.co

ANSWER

1. A 2. D 3. C 4. A 5. A 6. C 7. B 8. A 9. B 10. A

19.
11.D 12. B 13. B 14. A 15. B 16. B 17. C 18. D 20. (-1)
(83.5-84.0)
27.
21.C 22. D 23. B 24. A 25. B 26. B 28. C 29. C 30. C
(57.8745)

31. C 32. D 33. B 34. C 35. C 36. B 37. C 38. D 39.C 40. B

41. 42. 47. 50.


43. B 44. B 45. B 46. (9.4) 48. (2) 49.D
(-240 to -230) (1.075) (0.50) (598.67)
52. 55.
51. (0.5) 53. (6) 54. A 56. (0.4) 57. A 58. C 59.D 60. D
(0.902) (Switching)
70.
61. C 62. B 63. D 64. D 65. C 66. A 67. D 68. C 69. C
(0.9)
76. 78. 80.
71. (1.5) 72. (3) 73.B 74. (2) 75. C 77. D 79.D
(0.4226) (-900) (0.225)
85.
81. (6) 82. A 83. B 84. C
(800)

SOLUTION

1. Current gain of BJT, → β is very large (β = ∞). IB = 0A, IC = IE


−9m ⇒ Operating region of transistor is active
Ai =
9b ' e + jw ( ce + cc )
region.
Where, Ce and Cc are the transistor R 2  15 5  15
 Vth = = = 5V
capacitances. At high frequencies the R1 + R 2 10 + 5
current gain of BJT drops due to the R2  R2 10  5
 R th = = = 3.34k
transistor capacitors. R1 + R 2 10 + 5
2.
Applying KVL in the input loop,
-Vth + (IB × Rth) + VBE + (IE × RE) = 0
-5 + 0 × Rth + 0.7 + IC × RE = 0
5 − 0.7
IC = = 10 mA
0.43
3. Current gain of BJT, hfe = gm . r

4. VCC – ICR2 = VCE


3V
Or R2 =
1.5mA

Thevenin equivalent circuit =2kΩ


Given ; R1 = 10 k Ω, R2 = 5 kΩ, RE = 0.43 Ic
IB = = 0.01 mA
kΩ 
VBE = 0.7 V

46
www.gradeup.co

With change of β equal to 200, Applying KVL in loop (1),


I’C = 0.01 × 200 -VCC + (IB + IC) × 1 + IB × 53 + VBE = 0
= 2 mA VCC – VBE = 54IB + IC ……….(i)
And VCE = VCC – I’C R2 ∴ IC = βIB = 60 IB
=6–2×2 VCC – VBE = 54IB + 60IB = 114IB
= 2 volts VCC − VBE 12 − 0.7
IB = =  0.1 mA
5. 114 114
⇒ IC = βIB = 60 × 0.1 mA = 6 mA
Applying KVL in loop (2),
-VCC + (IC + IB)RC + VCE = 0
VCE = 12 – (IC + IB) RC = 12 – (6 + 0.1) × 1
VCE = 6.0 V
7. If β is increased by 60% β’ = 1.1 β’ = 1.1
× 60 = 66
Applying KVL in loop (1), - VCC + (IB + IC)
× 1 + (IB × 53) + VBE = 0
∴ VCC – VBE = 54IB + 66IB
Given (VCE)sat = 0.2 V, β = 50
11.3 = 120 IB
Applying KVL in loop,
IB = 0.094 mA
-VCC + IC RC + VCE = 0 ……..(i)
Applying KVL is loop (2), -VCC + (IC + RB) .
For driving the transistor in saturation,
RC + V’CE = 0
(VCE)sat = 0.2 V
VCE = 12 – (6.125 + 0.094) × 1 = 5.691 V
From eq (i), (VCE)sat = Vcc – (IC)sat RC
% Changing in VCE
0.2 = 3 – (IC)sat × 1
(Ic)sat = 2.8 mA V 'CE − VCE 5.691 − 6
 100 =  100 = −5.15 %
VCE 6
(IC )sat 2.8
(IB )min =

=
50
= 56 A 8. The given circuit is a voltage shunt
feedback amplifier and voltage gain of this
6. Given : βdc = 60, VBE = 0.7 V, hie → ∞,
voltage shunt feedback amplifier is
hfe → ∞
V0 RMf
A V = A Vf = = …………..(i)
Vi RS

Where, Rs = Source resistance,


RMf = Transconductance gain of feedback
1
amplifier 

If −1
Feedback factor,  = =
V0 R f

RMf  −R f

−R f 53
From equation (i), A vf  =− = −10
Rs 5.3

47
www.gradeup.co

IPC1 11. The midboard voltage gain for common-


9. Transport factors * =
IPE
emitter amplifier without RE is
Current in emitter is both due to holes and
electrons. Neglecting current due to
electrons,

IPC1 IPC1 IPE R 'L


= =  =  *  A v = −gmR 'L = −
IE IPE IE re

 50 33
* = = = 0.9853 R 'L = R C || RL = = 1.5k
 51  0.995 3+3
10. gm = IC/VT = 1 mA/25 mV = 40 mA/V

Av = - 40 × 1.5 = - 60

12. Drawing AC equivalent circuit, for AC

sources, capacitors are short circuited

R = 100 k Ω || 20 k Ω
25mA
Given : VBE  0.7V,RE =
IE 50
= k from the circuit
3
 = , IB  0, IC = IE
Vi(t) = +hie Ib
From figure, I2 = I1 – IB = I1
VCC 9 And, V0(t) = -hfe Ib RC
I1 = = = 0.3 mA
R1 + R 2 20 + 10 −hfeR C
= vi ( t )
Vb = R2 I2 = 0.3 × 10 = 3 V hie

Applying KVL in input loop, −150 (3k )


-Vb + VBE + IERE = 0
=
(3k )
( A cos 20t + B sin10 t )
6

-3 + 0.7 + IE × 2.3 = 0
= - 150 [A cos 20t + B sin 106 t]
IE = 1 mA

48
www.gradeup.co

13. −v0 −hfeRc


Or, A v = =
vs R s + hie + Z 'e

Z 'e =
(1 + hfe ) R e
1 + fwc2R e

Input impedance,
Z’i = Rs + hie + Z’e
When C2 is disconnected,
V0 −hfeRc
A 'v = =
Vs R s + hie + R e (1 + hfe )
Let both transistors are in active region, [Decrease]
therefore voltage at Q1 base And Input impedance,
(VBase)Q1 = 0.7-10 = -9.3 V Z‘i = Rj + hie + Re (1 + hfe)
Current through R, [increase]
9.3 V 15. Given β = 100, gm = 0.3681 A/V, r0 = ∞,
IR = = 1mA = IC
9.3k r = 259  , Rs =1kΩ
1
Since emitter area of Q1 = [Emitter area
2
of Q2]
AQ2
i.e. AQ1 =
2
 (2 )effective = 2  2 = 1430

Since effective β of Q2 is double of Q1, So


collector current also will be double nearly. RB = 93 k Ω, RC = 250 Ω, RL = 1 k Ω,

𝐼0 = 𝐼𝐶2 ≃ 2 × 𝐼𝐶1 ≃ 2𝑚𝐴 C1 = ∞, C2 = 4.7 μF


AC analysis is used for calculation of
14. Drawing low-frequency simplified h-
resistance (input and output) and gain
Parameter model of the given circuit,
(voltage and current)
The resistance seen by source,
R’L = Rs + rB || r = 1000 + 93000 || 259
= 1000 + 258.28 = 1258.28 Ω
16. Lower cut-off frequency due to C2

V0 = −IbhfeRc

VS
= −hfeRc
R S + hfe + Z 'e

49
www.gradeup.co

1 When Base and collector is shorted, it act


fL =
2 (R '0 + R L ) C2 as a diode.
Where R’0 = RC || r0 = 250 || ∞ = 250 Ω So, V0 = -0.7 V
𝑉0 −0.7𝑉
1 Gain = = −1
fL = 𝑉𝑖𝑛 0.7𝑉
2 (250 + 1000 )  4.7  10−6
21. Composite FET having 2 identical FET’s
fL = 27.1 Hz connected in parallel with gm and rd is
17. We know that characterised by 2 gm and rd/2.
v VT 22.
r = (  + 1) re + (  + 1) T = (  + 1)
Ie ( + 1) Ib
VT
r =
Ib
Where Ib is dc current through base so Ib =
1 mA
VT = 25 mV at room temperature.
25  10−3
So, r = = 25 
1  10−3 23. Drawing small signal model for the given
18. An increase in the base recombination of a circuit.
BJT increase the transconductance gm.
VBE
2

19.  I2 = Ise VT , where VBE2 = V2


VBE
1

 I1 = I s e VT , where VBE1 = V1


( V1 − V2 )
I1 VT Zi = 2 m Ω
=e
I2 Zo = RL || rd = 20 k Ω || 2 k Ω
Given : VT = 26 mV at 27°C, VT = 27.99 m
=
(20k ) (2k ) =
20
k
V at 50°C 22k 11
I1 = 80 mA, I2 = 4 mA 24. Under DC conditions, capacitors gets open-
⇒ V1 – V2 = 83.15 mV circuited
20. VGS = -2 volts
VP = - 8 volts

50
www.gradeup.co

N-channel MOSFET requires zero or 27. CM1 = 50 PF [1 – Av]


negative gate bias and +ve drain voltage Av = - gm R D
for to operate in active region. = - 0.01 × 1× 1000
Now, drain current Av = -10
2
𝑉𝐺𝑆 CMi = 50 pF[1 -𝐴𝑉 ]
𝐼𝐷 = 𝐼𝐷𝑆𝑆 [1 − ]
𝑉𝑃
=50 Pf [1+10]
2
 2 = 0.55 × 10-9 F
 ID = 10  10−3 1 −  = 5.625 mA
 8 = 0.55 nF
25. Trans conductance 1
fP =
 VGS  2R iCmi
gm = gm0 1 −  ……(A)
 VP  1
𝑓𝑃 =
Drain to source current 2𝜋 × 5𝑘 × 0.55𝑛𝐹
2 1
 V  =
IDS = IDSS 1 − GS  ……….(B)
3
2  5  10  0.55  10−9
 VP 
= 57.8745 kHz
𝜕𝐼𝐷𝑆 −2𝐼𝐷𝑆𝑆 𝑉𝐺𝑆 −2𝐼𝐷𝑆𝑆 𝐼𝐷𝑆
𝑔𝑚 =
𝜕𝑉𝐺𝑆
=
𝑉𝑃
(1 −
𝑉𝑃
)=
𝑉𝑃
√𝐼 So, the small signal input pole frequency is
𝐷𝑆𝑆
57.8745 kHz
2 IDSS .IDS
gm = 28. Internal output resistance of MOSFET is
VP
1
2√𝐼𝐷𝑆𝑆 . 𝐼𝐷𝑆 r0 =
⇒ 𝑔𝑚 = ID
|𝑉𝑃 |
2 If λ = 0, then r0 = ∞
= √10 × 10−3 × 5.625 × 10−3
8 If λ = 0, then r0   (given)
= 1.875 mS
From figure (A),
−VR −gmrd VR
Vo = i L
= i L

Rl + rd RL + rd
Vo −gmrdRL 20
 = = −1.875  10−3   103
Vi RL + rd 11
= −3.41
26. From Figure, VB = Va and VS = 0V
VGS = VG – VS – 0 ⇒ VG = VB
V D = Va ⇒ V D – V S = Va – V S
VDS = VGS
VDS > VGS – VT
Hence, MOSFET is in saturation region
𝑊
𝑔𝑚 = 𝜇𝑛𝑐𝑜𝑥 ( ) (𝑉𝐺𝑆 − 𝑉𝑇 )
𝐿
gm = 10  10−6  (2 − 1) = 4  10−6 S

VB 1
= = 25k
ID gm

51
www.gradeup.co

From figure, Vgs3 = Vg3 – Vs3 = 0 – 0 = 0V


Vin = Vgs; V01 = V0 = -Vgs2
Applying KCL at D1 (  D3 )

V0 V0 V
gm1 Vgs1 + + − gm2 Vgs2 − gm3 Vgs3 + 0 = 0
r01 r02 r03

 1 1 1 
V0  + + gm3 +  = −gm1Vin
 r01 r02 r03 

V0   1   ⇒ From figure, V2 = −Ix .r01


 Av = = −gm1 r01 || r01 ||  || r03 
Vin   gm2  
Ix = gm2 V2 +
( Vx − Ix .r01 )
29. r02

Vx r
= −gm2r01Ix + − Ix 01
r02 r02

 r 
Vx = r02 1 + r01gm2 + 01  Ix
 r02 

r0 = r01 . r02 gm2


31. A resistor in the emitter of a common
emitter amplifier stabilizes the dc operating
The small signal equivalent circuit of point against variation in temperature and
MOSFET in saturation is shown in figure. β.
So, when the channel length modulation 32. For transistor to be in saturation mode,
effect is significant, the MOSFET can be (IB )min  IB
modelled as a current source with finite
ic
output impedance.   IB
dc
30.
IC  dc . IB

33. CB < CE < CE (order of input resistance)


34.

52
www.gradeup.co

Given : β is vary large, (β = ∞). So, IB = 0 20 = 430 IB + 0.7 + 1 × (βIB + IB)


A, 20 − 0.7 19.3
IB = =
IC = IB 430 + (  + 1)  1 430 + 51

R1 = 4 k Ω, R2 = 1 k Ω, VBE = 0.7 V IB = 0.04 mA = 40 μA


𝑅2 5×1 IC = βIB = 50 × 40 μA = 2 mA
⇒ 𝑉𝑡ℎ = ×5= = 1𝑉
𝑅1 + 𝑅2 4+1
From the output circuit,
R  R2 4 1
 R th = 1 = = 0.8k VC = 20 – ICRC = 20 – 2 × 2 = 16 V
R1 + R 2 4 +1
37. Apply KVL at the BE junction
Applying KVL in loop (1),
−5 − 0.7 + 10
-Vth + (0 × Rth) + VBE + [RE × (0 + IC)] = 0 IE =
4.3k
Vth = VBE + (RE IC)
4.3
V − VBE 1 − 0.7 = = 1mA
iC = th = = 1mA 4.3k
RE 0.3

Applying KVL in loop (2),


-5 + (IC × 2.2) + VCE + 0.3 × (0 + IC) = 0
5 = IC × 2.2 + VCE + 0.3 × IC
VCE = 5 – (2.2 + 0.3) = 2.5 V
35. When two terminals of a transistor are
shorted if acts as diode.
𝑉𝐷 0.7
𝐼 = 𝐼0 (𝑒 − 1) = 10−13 ( 1×26×10−3 − 1)
𝜂𝑉𝑇 𝑒
= 49𝑚𝐴 Always IE = 1 mA

36. At collector junction


Icap + (0.5 mA) = 1 mA (since β = ∞; IE =
IC )
Icap = 1 – 0.5
= 0.5 mA (always constant)
VCE = VC – VE
⇒ VC = VCE + VE
= 0.7 + (4.3) × 103 × 10-3
= 0.7 + 4.3 (Since VE = IERE)
VC = 5 V = Vcap
t
Vcap = Icap
C
Given : β = 50, VBE = 0.7 V Vcap ( C ) (5)  5  10−6
Or t = =
Applying KVL in loop. Icap 0.5  10−3
-20 + (IBRB) + VBE + RE (IC + IB) = 0
= 50 ms

53
www.gradeup.co

54
www.gradeup.co

38. 40.

Current buffer or current amplifier circuit is


KVL in put loop,
shown in figure. For ideal current amplifier,
13.7 – (IC + IB) 12 K – 100 k(IB) – 0.7 = 0
IL = IS [∴ RS > > Ri]
⇒ IB = 9.9 μA
So value of Ri should be low, and IL = Io
IC = βIB = 0.99 mA;
[∴ Ro > > RL]
IE = 1 mA
41. VBE = 0.7 V, β = 200, VT = 25 mV
26 mA
 r0 = = 26 
IE DC Analysis,

Zi = βre = 2.6 k Ω 11k


V B = 12  = 3v
11k + 33k
 Av =
(100k || 12k ) = 412
26 VE = 3 – 0.7 = 2.3 V

2.3
 100k  IE = = 2.277 mA
z 'i = zi ||   = 221  10 + 1k
 1 + 412 
IB = 11.34 µA
z 'i
A vs = A v IC = 2.26 mA
z 'i + R s
25mV
 221  re = = 10.98 
= ( 412 )   2.277 mA
 221 + 10k 
V0
A vs  10 Av =
Vi
39. Given : β = ∞, IB = 0, IC = IE = 1 mA, VBE
−R c
=
= 0.7 V re + (1 −  ) (R s )
VE = REIE = 1 × 10-3 × 500 = 0.5 V
−200  5K
=
VR2 = VBE + VE = 0.7 + 0.5 = 1.2 V 200  10.98 + (201) 10
It is a self-bias circuit. So, Av = -237.76
R2 42. KVL in base loop gives,
VR2 = .V
R1 + R 2 CC
10 − 0.7
IB =
R2 100K
1.2 = 3
60 + R 2
= 93 μA
72 + 1.2 R2 = 3R2 ⇒ IC = βIB = 50 × 93 μA
R2 = 40 k Ω = 4.65 mA

55
www.gradeup.co

From figure, V0 = IC RC Above condition of RC is satisfied only by


V0 option (B), i.e., for RC = 3 KΩ, the BJT
 RC =
IC operate in saturation region.
5V For RC = 1 k Ω, BJT will operate in active
=
4.65 mA region.
= 1.075 Ω For RC = 20 k Ω, BJT will still be saturation
43. Given : β = 50 VCE(sat) = 0.2 V region.
VBE = 0.7V, this value can be used for both 44. For unbypassed RE, Ri = βre + (1 + β) RE
active and saturation region. and
AIRL
Av =
Ri

45.

⇒ Assuming transistor is in saturation Vin = βreib + (1 + β) ib RE


region, applying KVL in loop (1) V0 = (1 + β) RE ib
-5 + 50 IB + 0.7 = 0
Then,
V0
=
(1 + ) RE
5 − 0.7 Vin re + (1 +  ) RE
IB = = 0.086 mA
50
V0 RE RE
Applying KVL in loop (2), = =
Vin re + RE re + RE
-10 + IC(sat) RC + VCE(sat) = 0
The condition for small signal voltage gain
10 − 0.2
IC(sat ) = to be nearly constant is
RC
RE > > re
Condition of saturation is IB(min)  IB, where
RE > > VT/IC
IB(min)
Ic R E > > V T
IC( sat )
= 46. ∴ β = high IB is neglected

10k
IC( sat ) VB = 12  = 8V
10k + 5k
 IB

VE = VB – 0.7 = 7.3 V
10 − 0.2 9.8 ∴ VCE = 12 – 7.3 = 4.7 V
 IB   0.086
50R C 50R C
∴ Maximum undistorded
R C  2.279K V0 (p – p) = 2 × 4.7 V = 9.4 V

56
www.gradeup.co

47. The input impedance of CB amplifier is Z i = Ic(sat )


IB  IB(min) =
re = 50 Ω 
VT 1mA 1
= 50 IB   ; = 9.23
IC  0.10833 min
25mV min
 IC = = 0.50 mA min = = 0.902
50  1 + min
48. VEB = 0.7 V 53.
IB = 0.0383 mA
IC = 1.916 mA
49. Ebers – Moll model is valid for all the
region of operation of BJT
VT  I1 
50.  R2 = ln  
i2  I2 

26  10−3  1  10−3 
= ln   = 598.67 
100  10−6  100  10−6 

51. Here VE1 = (2.5 – 0.7) = 1.8 V


VB2 = VE1 – VEB2
= (1.8 – 0.7) = 1.1 V
VB2 − 1 1.1 − 1 0.1 16
IB2 = = = Vth =  18 = 4.8 V
10k 10k 10k 16 + 44
 0.1  IE RE= Vth – VBE – IB Rth ∴ α = 1
IC2 = IB2 = 50  
10k  ∴ IB = 0A
∴ VC2 = IC2 (1k) IE RE = 4.8 – 0.8 = 4V
50 ( 0.1) IE =
4
mA = 2mA
=
10k
(1k ) = 0.5V 2

Hence, the value of the dc voltage VC2 is IC = IE = 2 mA

0.5 V. VCE = VCC – ICRC – IERE= 18 – 2 × 4 – 2 ×

52. 2
VCE= 6 V
54. IDSS = 10 mA, Vp= 5 V; IDS = 6.4 mA
2
 VGS 
IDS = IDSS 1 − 
 VP 

2 − 0.7
IB = = 0.10833 mA
12
5 − 0.2
IC(sat ) = = 1 mA
4.8

57
www.gradeup.co

 V 
2
60. Assuming both PMOS and NMOS are in
Or 6.4 = 10 1 − GS 
 VP  saturation
P1 C0  W
( VGS1 − VTh )
2
Or VGS = 0.2 VP = 0.2 × 5 = 1 volt Then, ID1 =
2L
∴ IDS . RS = 1 Volt [For NMOS transistor]
1 40
(2.5 − 1)
2
Hence R s = = 156  =
6.4  10−3 2

55. The transit time of a current carries = 20 × 1.52 = 45 μA


Now, for PMOS transistor
through the channel of an FET decides its
pC0  W
( VGS2 − VTP )
2
switching characteristics. ID2 =
2L
56. Maximum transconductance, 40
( 5 − 2.5 − 1) = 45A
2
=
2IDSS 2  1  10−3 2
gm(max ) = = = 0.4 ms
| VP | 1 − 51 As ID1 = ID2. Both transistor are in
saturation inn saturation and
57. If V1 = 0V, VDS will be less than VGS – VT.
I = ID1 = ID2 = 45 A
Hence, Statement 2 is false.
1 1 1
58. From transfer characteristic curve, 61. = +
gm gm1 gm2
threshold voltage, Vth = 1 V.

VG = 3V, VS = 1 V, VD = 5 V

VGS = VG – Vs = 3 – 1 = 2 V

VDS = VD – VS = 5 – 1 = 4 V

Over – drive voltage, VOV = VGS – Vth = 2 –

1=1V

Hence, VGS > Vth and VGS – Vth ∴ M2 is always saturated due to bias but
gm1 changes according to Vi
Therefore, MOSFET is in saturation region.
gm1gm2
i gm =
59. gcn = D gm1 + gm2
VGS VDS
gm1gm2
=
2  g 
 V  gm2 1 + m1 
And, ID = IDSS 1 − GS   gm2 
 VP 
But gm2 > > gm1
ID 2I  V 
gm = = − DSS 1 − GS  ∴ gm = gm1
VGS VP  VP 
62. In situation region, drain current for M1
gm will be maximum when VGS = 0 and nCox W
( )
2
ID1 = VGS1 − VT = Ibias
given by, 2L
And for M2,
2I
gm0 = − DSS nCox W
( )
2
Vp ID2 = VGS2 − VT
2L

58
www.gradeup.co

As VGS1 = VGS2 for this circuit and the two Here, both possibilities of VG satisfies this
transistors are identical, ⇒ For v0 = 4.866 V

ID1 = ID2 = Ibias [VSDp = 5 – V0 = 0.314 V] < [VsaGp - |VTp|


= 1 V]
Or, Ix = Ibias
⇒ For V0 = 3.14 V
63. When VG is little higher than 1 V,
[VSDp = 5 – Vo = 0.866 V] > [VSGp - |VTP| =
⇒ For n-MOSFET ;
1 V]
VGS0 = Va = 1 + hv ; h is small positive
The valid answer of V0 is 4.866 or
quantity
3
4+ V
VGSo – Vth = h 2
⇒ For p-MOSFET: 65. For upper MOS, VDS= 6 – Vx

VsGp = VDD – 3 = 2V; VsG - |VTP| = 1V VGs – VT = 5 – Vx – 1 = 4 – Vx


Upper MOS will be in saturation because
(VGSo – VTh) < (VsGp - |VTP|)
VDS > VGS – VT
So, n-MOSFET will be in saturation region
For lower MOS, VDS = Vx and Vas - VT = Vx
and p-MOSFET will be in triode region.
–1
64. When VG = 1.5 V:
VDS > VGs – VT
⇒ For n-MOSFET : VaGn = VG = 1.5 V and Lower MOS will be in saturation
VaGn – Vth = 0.5 V 2
W
( VGS − VT )
2
 ID1 = cox .  
⇒ for p-MOSFET : VsGp = VDD – 3 = 2 V and L 
= n.cox 22 ( 4 − Vx )
2
Vsap - |VTp| = 2 – 1 = 1 V
(VGSo – VTh) < (VsGP - |VTP|) ID2 = μn . cox 12 (Vx – 1)2
So, n-MOSFET will be in saturation region But ID1 = ID2
and p-MOSFET will be in triode region. 4(4 – Vx)2 = (Vx – 1)2
For Vn : IDSn = IDSp Vx = 3 Volt

Kn(VGSn – VTh)2 = kp[2(VSGp - |VTP|) VSDD – 66. For p-MOS, VSG = Vs – VG = 5 – Vin
For p-MOS to be ON, VSG > |VTp| → 5 – Vin
V2SDP]
>1
Given that, kn = kp
Vin < 4 volt
(0.5)2 = 2 × 1 (VDD – Vo) – (VDD – Vo)2
So, Vin must be less than 4 V for MOS to be
0.25 = 10 – 2 Vo – 25 + 10 Vo – Vo2
in linear region, hence option C and D are
Vo2 – 8Vo + 15.25 = 0 incorrect, we know that for small Vin output
3 is high and pMOS is in linear region and
Vo = 4  V. = 4.866 V or 3.134 V
2 MOS is in cut off region, Similarly, for high
Check for Valid V0: vin, PMOS is in cut off and NMOS is in linear
⇒ We know that n-MOSFET is in saturation region and for Vin in between both are in

region and p-MOSFET is in triode region so, saturation.


So, PMOS will be n linear region for Vin <
Vo  CGsn − VTh
1.875 V.

59
www.gradeup.co

67. In a MOSFET operating in the saturation 72. Lower transistor (M1) to work in saturation
region the channel length modulation VDS1  VGS1 − V+
effect cuses a decrease in the output
So, for minimum VDD
resistance.
VDS1 = VGS1 + V+
68. For proper operation, VDS = VGs — VT
V D – V S = VG – V S – V T ⇒ V D = VG - V T VDS1 = 2 – 1 = 1 V

At P : VD = VG — VT = 5 — 1 = 4 V VDS1 = VD1 – VS1


At Q : VD = VG - VT = 5 - 1 = 4 V 1V = VD1 – 0
At R : VD = VG — VT = 5 — 1 = 4 V VD1 = 1 V
∴ Va = 5 V and VT = 1V For all transistors. And ID1 = K’ (VGS1 – V+)2
According to the given options, the only 1mA
 (2 − 1) = 1mA
2
VD1 =
condition for the voltage at P, Q and R is V2
only in option C.
Now transistor M2, VDG = 0V
69. Given VTh = 0. V
So, it will work into saturation region and
When VD = 1.6 V
same current will flow
ID = 0.5 mA
1 𝑊 ID2 = ID1 = K’ (VGS2 – V+)2
= 𝜇𝑛 𝐶𝑂𝑋 (𝑉𝐷𝑆 − 𝑉𝑇ℎ )2
2 𝐿 1mA
 ( VDD − 1 − 1)
2
1mA =
[∴ Device is in saturation] V2
1 𝑊
= 𝜇𝑛 𝐶𝑂𝑋 = 0.78125 × 10−3 𝐴/𝑉 2 (∴ VSS = VD1)
2 𝐿
= 0.78125 × 10-3 (2 -0.8)2 =1.125 mA ∴ VDD = 3V

1 W 73.
70. Given : |VT| = 2 V and Kn = nCox  
2 L 
= 0.1 mA/V2
⇒ ID1 = ID2 = Kn (Vas – VT)2 = 0.1 (5 – 2)2
= 0.9 mA
71. Transistor m1 switch from saturation to
linear
⇒ VDS = VGS – VT
Where VDS = V0
And VGS = Vi
VDS = V0 = Vi – VT
Drain current
Due to very large input impedance gate
1 𝑊
𝐼𝐷 = 𝜇𝑛 𝐶𝑂𝑋 (𝑉𝐺𝑆 − 𝑉𝑇 )2 current of both PMOS will be zero, i.e., Iap
2 𝐿
VDD − Vo 1 = IaN = 0A.
=  100  10−6  2 ( VGS − 0.5)
2

10K 2 From circuit, I = Iap + IaN = 0A.


2 − ( Vi − 0.5) Voltage across 10 kΩ resistor
= 100  10−6 ( Vi − 0.5)
2

10K
= Vout -VA = 10I = 0.
⇒ Vi = 1.5 V ⇒ VA = Vout,VA = Vap = VaN = Vout,

60
www.gradeup.co

⇒ Since, drain of PMOS is shouted to gate V0V2 = 1.5 – Vx


of PMOS, hence PMOS is operating in Since, VGS2 > Vth and VDS2  VoV2
saturation region. So transistor M1 : Assuming , M1 is also in
⇒ Since drain of NMOS is short region to saturation
gate of NMOS, hence NMOS is operating in VDS1 = VD1 – S1 = Vx – 0 = Vx
saturation region. VGS1 = VG1 – VS1 = 2 – 0 = 2 V ……….(i)
⇒ It is clear that when PMOS and NMOS V0V1 = VGS1 – Vth = 1 V ……….(ii)
both are is saturation region then output Since, transistors are connected in sales.
will be switching threshold of the inverter Hence,
because of output voltage is switching from ID2 (sat) = ID1 (sat)
VDD V Kn (VGS2 – Vth)2 = Kn (VGS1 – Vth)2
+ Vth to DD − VTh
2 2 (2.5 – Vx – 1)2 = (2 – 1)2 ⇒ 1.5 – Vx = ±1
85 Vx = 0.5 V or 2.5 V
74. VGS = − 1  ID = 5 − ID
8
Case 1 : For Vx = 2.5 V
ID = 5 – VGS ………..(i) VGS2 = VG2 – VS2 = VG2 – Vx = 2.5 – 2.5
nCox  W 
( VGS − VT ) VS2 = 0V < Vth
2
ID =  
2 L 
⇒ M2 is in cut off region, Vx  2.5V
1
= ( VGS − 1)
2
5 − VGS Case 2 : For Vx = 0.5 V
2
(i) For transistor M1 :
10 – 2 VGS = V2GS + 1 – 2 VGS
VGS2 = VG2 – VS2 = VG2 – Vx
VGS = 3 V
VGS2 = 2.5 – 0.5 = 2 V
ID = 5 – 3 = 2 mA
VDS2 = VD2 – VS2 = 3 – 0.5 = 2.5 V
75.
V0V2 = VGS2 – Vth = 2 – 1 = 1 V
VS2 > Vth and VDS2 > V0V2. M2 → saturation
(i) For transistor M1 : VGS1 = 2 – 0 = 2 V
VDS1 = Vx – 0 = 0.5 V ……….(iii)
From eq. (i), (ii) & (iii), VGS1 > Vth & VDS1 <
V0V1
Our assumption is wrong and hence, M1 is
in linear region.

W W
76. Given :   = 2    kn2 = 2kn1
L
 2  L 1
For transistor M2 : VDS2 = VD2 – VS2 = 3 – For M1, VGS1 – VT = 2 – 1 = 1 V
Vx For M2, VGS2 – VT = 2 – 1 – Vx = 1 – Vx < 1
VGS2 = VG2 – Vs2 = 2.5 – VX Volt
Overdrive voltage, Vov2 = VGs2 – Vth = 2.5 – VDS2 = (3.3 – Vx) > ( VGS2 – VT)
Vx – 1

61
www.gradeup.co

So, M1 will be in linear region an M2 will be M1 and M2 will have equal current flowing
in saturation region. also since they are identical
ID1 = ID2
W W
L  =L 
kn1 2 ( VGS1 − VT ) VDS1 − VDS1  = k  ( Vas2 − VT )
2 2
2
 1  2

kn1 2 (2 − 1) Vx − Vx2  = 2k 1 (2 − Vx − 1)


2 ∴ VGS1 = VGS2 also, By KVL in loop
4 = VSG1 + VSG2
( )
2Vx − Vx2 = 2 1 + Vx2 − 2Vx = 2Vx2 − 4Vx + 2
∴ VSG1 = VSG2 = 2 V
2
3V − 6Vx + 2 = 0
x ∴ Current through M1 and M2 is given by

4−8/3 1 1 W


Vx = 1 
4
=1
3
V
2
 
( L
)
I =   pCox    VSG − | VT |
 
2

⇒ VGS2 = (2 – Vx)  VT
1
=   (30 ) (10 ) (2 − 1)
2

(1 – Vx)  O 2
 
1 = 15 (10)(1)2 = 150 μA
So, Valid answer is Vx = 1 − = 0.4226
3
M1 and M3 are matched with same
volt
77. w
 L  and same VSG
 
∴ IM3 = IM4 = 150 μA
Now for MOFET M4,

W
gm = 2nCox I
L D

= 2  60  5  150 = 300

At higher frequency Cmin minimum Now voltage gain (Av)


capacitance obtained in inversion-regime = -gm (rd || rd)
so point R-belongs to inversion regime. 𝜇𝐴
= (−300 ) (6𝑀𝛺||6𝑀𝛺)
Maximum capacitance obtained in 𝑉
accumulation regime so point p-line is  A 
=  −300
V 
(3M ) = −900V / V
accumulation regime. 
For ϕm = 0V, flat band occurs at Vc = 0V, 79.
so point ϕ lies at flat-band regime.
78.

62
www.gradeup.co

80.

82.

VDD
At Vi = , both the MOSFETs are in
2

saturation and both MOSFETs have the

same current. DC analysis of the circuit gives,


VDD
2

∴ re = 55.9 Ω
1 W
2
 
( L
 
)
   100A / V2   1.5 − 0.7
2

1 W 83.


2
 
( )
=   40A / V2   3 − 1.5 − 0.9 
L
 P
2

W
L  ( 40 ) ( 0.6 )
2
 n 40  0.36
= = = 0.225
W (100 ) ( 0.8 ) 100  0.64
2

L 
 P

81.

M1 and M2 have the same VGS

∴ Current flows in the ratio of W/L


3
∴ 𝐼2 = × 1𝑚𝐴 = 1.5𝑚𝐴
2
V1 = 0; Therefore M3 is in cut off and entire
Vtest = –Vgs
I2 current flows through M5 branch.
= rds (Itest – gmVtest) + ItestR
∴ I5 = 1.5 mA
Vtest(1+gmrds) = Itest(rds + R)
40
𝐼𝑜𝑢𝑡 = ( ) 𝐼5
10
∴ Iout = 4 × 1.5 mA = 6 mA

63
www.gradeup.co

84. During sampling, MOSFET must be as ON 85.

switch.

⇒ VGS > VTH

⇒ (VG – VS)> VTH

⇒ VG > VS + VTH

⇒ VG > 10 + 3V ∵ VS = VI, max = 10V

⇒ VG > 13 V …(1)
Voltage VB = Vz+VBE
During hold, MOSFET must be as OFF
= 3.3 + 0.7
switch.
VB = 4V …(i)
⇒ VGS < VTH

⇒ (VG – VS) < VTH


I = 5 mA
⇒ VG < (VS + VTH)
Since base cement is negligible,
⇒ VG < –7V

∴ VS = VI,min = –10V

❖❖❖❖

64
www.gradeup.co

65
3
www.gradeup.co

Frequency Response
of Amplifier

Analysis of Previous GATE Papers

2017 Set 1

2017 Set 2

2016 Set 1

2016 Set 2

2016 Set 3

2015 Set 1

2015 Set 2

2015 Set 3
2020

2019

2018
Year →
Topic ↓

MCQ Type 1
1 Mark
Numerical Type
Low & High
Frequency Response MCQ Type 1 1
of Amplifiers Using 2 Marks
Numerical Type
BJT & FET
Total 2 2 1

MCQ Type
1 Mark
Numerical Type
Multistage Amplifiers MCQ Type
2 Marks
Numerical Type
Total
MCQ Type 1
1 Mark
Numerical Type
MCQ Type
2 Marks
Numerical Type
Miller Effect Total 1
Numerical Type
MCQ Type
2 Marks
Numerical Type
Total

66
www.gradeup.co

LOW AND HIGH FREQUENCY RESPONSE OF A. 200 MHz, 201 MHz


AMPLIFIER USING BJT & FET B. 200 MHz, 1999 MHz
C. 199 MHz, 200 MHz
Vo
1. The Voltage gain A v = of the JFET
Vi D. 201 MHz, 200 MHz

amplifier shown in the figure is [2002 : 1 Mark]


5. The fT of a BJT is related to its gm, Cπ and
Cμ as

C  + C
A. fT =
gm

B. fT =
(
2 C + C )
gm

gm
C. fT =
(C  + C )
(Assume C1, C2 and C3 to be very large)
gm
A. +18 B. -18 D. fT =
C. +6 D. -6
(
2 C + C )
[2000 : 2 Marks] [2004 : 1 Marks]
2. An RC-Coupled Amplifier is assumed to 6. An npn transistor (with C = 0.3 pF) has a
have a single-pole low frequency transfer unity-gain cutoff frequency fT of 400 MHz
function. The maximum lower-cutoff
at a dc bias current Ic = 1 mA. The value
frequency allowed for the Amplifier to pass
of its Cμ (in pf) is approximately (VT = 26
50 Hz. Square wave with no more than
mV)
10% tilt is ………. .
[2000 :1 Mark] A. 15 B. 30

3. An Amplifier has an open-loop gain of 100 C. 50 D. 96


and its lower and upper-cut-off frequency [2005 : 2 Marks]
of 100 Hz and 100 kHz respectively, a 7. An amplifier is assumed to have a single-
feedback network with a feedback factor of
pole high- frequency transfer function. The
0.99 is connected to the amplifier. The new
rise time of its output response to a step
lower-and upper-cut-off frequencies are at
function input is 35 nsec. The upper —3 dB
……… and ……….. .
[2001:1 Mark] frequency (in MHz)

4. An npn transistor has a beta cut-off for the amplifier to a sinusoidal input is
frequency fβ of 1 MHz and Common- approximately at
emitter short-circuit low frequency current A. 4.55 B. 10
gain β0 of 200 at unity gain frequency fT
C. 20 D. 28.6
and the alpha cut-off frequency fα
[2007 : 2 Marks]
respectively are

67
www.gradeup.co

8. An npn BJT has gm = 38 mA/V, Cμ = 10-14

F, C  = 4 × 10-13 F, and DC current gain β0

= 90. For this transistor fT and fβ, are

A. fT = 1.64 × 108 Hz and 1.47 × 1010 Hz

B. fT = 1.47 × 1010 Hz and fβ = 1.64 × 108

Hz

C. fT = 1.33 × 1012 Hz and fβ = 1.47 × 1010 A. 8 B. 32


Hz C. 50 D. 200

D. fT = 1.47 × 1010 Hz and fβ = 1.33 × [2017: 2 Marks, Set-2]

1012 Hz 11. Which one of the following statements is

[2013:2 Marks] correct about an ac-coupled common-

9. A bipolar transistor is operating in the emitter amplifier operating in the mid-band


region?
active region with a collector current of 1
A. The device parasitic capacitances
mA. Assuming that the β of the transistor
behave like open circuits, whereas coupling
is 100 and the thermal voltage (VT) is 25
and bypass capacitances behave like short
mV, the transconductance (gm) and the
circuits.
input resistance ( r ) of the transistor in the
B. The device parasitic capacitances,
common emitter configuration, are coupling capacitances and bypass
A. gm = 25 mA/ V and r = 15.625 kΩ capacitances behave like open circuits.
C. The device parasitic capacitances,
B. gm = 40 mA/ V and r = 4.0 kΩ
coupling capacitances and bypass
C. gm = 25 mA/ V and r = 2.5 kΩ
capacitances behave like short circuits.
D. gm = 40 mA/ V and r = 2.5 kΩ D. The device parasitic capacitances

[2016:1 Mark, Set-2] behave like short circuits, whereas

10. The ac schematic of an NMOS common- coupling and bypass capacitances behave

source stage is shown in the figure below, like open circuits.


[2017: 2 Marks, Set-1]
where part of the biasing circuits has been

omitted for simplicity. For the n-channel MULTISTAGE AMPLIFIERS


MOSFET M, the transconductance gm = 1
12. Three identical RC-coupled transistor
mA/V, and body effect and channel length
amplifier are cascaded. If each of the
modulation effect are to be neglected. The
amplifiers has a frequency response as
lower cut-off frequency in Hz of the circuit
shown in the figure, the overall frequency
is approximately at
response is as given in

68
www.gradeup.co

A1 : AVO = 10, Rin = 10 kΩ, R0 = 1 kΩ A1:


AVO = 5, Rin = 5 kΩ, R0 = 200 kΩ The
approximate overall voltage gain vout/vni is

A.
[2005:1 Mark]
14. In a multi-stage RC-Coupled Amplifier the
coupling capacitor
A. limits the low frequency response
B. B. limits the high frequency response
C. does not effect the frequency response
D. blocks the d.c components without
effecting the frequency response.
[2014:1 Mark, Set-2]
C.
MILLER-EFFECT

15. The Miller effect in the context of a


common emitter amplifier explains
A. an increase in the low-frequency cutoff
D. frequency
B. an increase in the high-frequency cutoff
frequency
C. a decrease in the low-frequency cutoff
[2001 : 1 Mark]
frequency
13. A cascade connection of two voltage
D. a decrease in the high-frequency cutoff
amplifiers A, and A2 is shown in the figure.
frequency
The open-loop gain AVo, input resistance
[2017:1 Mark, Set-1]
Rin, and output resistance R 0 for A1 and A2
are as follows:

69
www.gradeup.co

ANSWER

1.D 2. (2πF) 3. (1Hz) 4. A 5. D 6. C 7. D 8. B 9.D 10. A

11.A 12.A 13. 34.722 14. A 15. D

SOLUTION

 V  2I ID 4. Given fβ = 1 MHz, β = 200


1. gm = gm0 1 − GS  = DSS
 vP   VP  IDSS Unity gain frequency or gain band width of

VG = 0, a BJT FT = hfe . fβ = βfβ = 200 × 1 MHz =

VS = ID . Rs 200 MHz .

= 1 mA × 2.5 K Alpha – cut off frequency,

= 2.5 V f
f = = (1 +  ) f = (1 + 200)  1
2. The emitter base capacitance is called (1 −  )
diffusion capacitance CD or G = 12 pF. fα = 201 MHz

Depletion capacitance is across collector- 5. Unity gain bandwidth or gain bandwidth

base. product of a BJT is

I | IC |
CD = Where, gm = = trans conductance,
VT VT

Here,  = 1 Cμ = transition capacitance and c =

260  10−12  103 diffusion capacitance


CD =
26  10−3 6. NPN transistor has a unity gain cut off
= 10 pF frequency,
∴ Deflection capacitance = 12 – 10 = 2 pF fT = 400 MHz
𝐴𝐶𝐿
3. 𝐴𝑓 = dc bias current IC = 1 mA
1+𝐴𝑂𝐿 𝛽

cμ = 0.3 μF
100
= =1
1 + 100  0.99 IC (mA ) 1
gm = = A/V
fH = fh (1 + βAOL) 26 26

= 100 × 103 (1 + 0.99 × 100) gm 1 / 26


c = =
2fT 2  400  106
= 10 × 106 Hz = 10 MHz
1
𝑓𝑙
𝑓𝐿 = 1
1 + 𝐴𝑂𝐿 𝛽 =  10−8
26  2  4
100
= = 1Hz = 15.3 𝑝𝐹
100

70
www.gradeup.co

gm 11. Parasitic capacitors are small capacitor,


8. fT =
(
2 C + C ) where as bypass capacitor and coupling

𝑓𝛽 . ℎ𝑓𝑒 = 𝑓𝑇 capacitors are large capacitor. In mid-band


region, small capacitor acts as an open-
Putting the given values,
circuit and large capacitor will act as a
fT = 1.47 × 1010 Hz,
short – circuit.
fβ = 1.64 × 108 Hz
12. New lower 3-dB frequency
|I | 1mA
9. gm = c = = 0.04 A / V = 40 mA / V f'L = fL. D
VT 25mV
and, new higher 3-dB frequency
 100 fH
r = = = 2.5k f 'H =
gm 40mA / V D
10. 1
Where D =
1/n
2 −1

n=3
13. Overall voltage gain,
Vo
Av =
Vi

 Zi   RL 
= A v1 A v2  2  
 Zi2 01   R L + Z02 

 5K   1K 
= 10  5    
 5K + 1K  1K + 200 
Given : λ = 0, gm = 1 mA/V
Av = 34.722
Lower cut –off frequency or lower 3 – dB 14. Coupling capacitance comes in series with
frequency the circuit and hence affects low frequency

1 1 response as at low frequency its


fL = ;r0 = =
( '
2 R + R L C
0 ) I0 impedance becomes high. It also blocks dc
but affect the frequency response.
∴ From figure R’0 = RD || r0 = 10 || ∞ = 10
15. Miller’s theorem is used for analysis of

feedback element which is connected
RL = 10 k Ω, C = 1 μF
between input and output terminal. Miller
1 100
fL = = effect increases input capacitance and
( )
2 10  103 + 10  103  1  10−6 4
there by decreases the higher cut – off
fL = 7.96 Hz frequency.

71
www.gradeup.co

72
4
www.gradeup.co

Operational Amplifier

Analysis of Previous GATE Papers

2017 Set 1

2017 Set 2

2016 Set 1

2016 Set 2

2016 Set 3

2015 Set 1

2015 Set 2

2015 Set 3
2020

2019

2018
Year →
Topic ↓

MCQ Type 1 1
1 Mark
Numerical Type 1

Ideal & Practical MCQ Type 1 1 1 2 2 2


Op-Amp 2 Marks
Numerical Type

Total 1 3 3 2 4 4 4

MCQ Type 1
1 Mark
Numerical Type 1 2 1
Different Op-Amp
MCQ Type
Configurations
2 Marks
Numerical Type 1 2 1

Total 2 2 6 3

73
www.gradeup.co

IDEAL AND PRACTICAL OP-AMP 5. An ideal op-amp has voltage sources, V1,

V3, V5, ... VN-1 connected to the non-


1. If the op-amp in the figure has an input
inverting input and V2, V4, V6,……… VN
offset voltage of 5 mV and an open-loop
connected to the inverting input as shown
voltage gain of 10,000; then V0 will be
in the figure below (+V CC = 15 volt, — VCC

= -15 volt). The voltages V1, V2, V3, V4. V5,


1 1 1 1 1
V6, …….. are 1, − , , − , , − , …… Volt,
2 3 4 5 6

respectively. As N approaches infinity, the

output voltage (in volt) is …….. .


A. 0V B. 5 mV
C. +15 V or -15 V D. +50 V or -50 V
[2000 : 2 Marks]
2. The ideal OP-AMP has the following
characteristics.
A. Ri = ∞, A = ∞, R0 = 0
B. Ri = 0, A = ∞, R0 = 0
C. Ri = ∞, A = ∞, R0 = ∞
D. Ri = 0, A = ∞, R0 = ∞ [2005 : 1 Marks]

[2001 :1 Mark] 6. A p-i-n photodiode of responsivity 0.8 A/W


3. An amplifier using an op-amp with a slew- is connected to the inverting input of an
rate SR = 1 V/μ sec has a gain of 40 dB. If ideal op-amp as shown in the figure, + Vcc
this amplifier has to faithfully amplify = 15 V, —Vcc = —15 V, load resistor RL =
sinusoidal signals from dc to 20 kHz
10 kΩ. If 10 μW of power is incident on the
without introducing any slew-rate induced
photodiode, then the value of the
distortion, then the input signal level must
photocurrent (in μA) through the load is
not exceed
……………… .
A. 795 mV B. 395 mV
C. 79.5 mV D. 39.5 mV.
[2002:2 Mark]
4. An ideal op-amp is an ideal
A. voltage controlled current source.
B. voltage controlled voltage source.
C. current controlled current source.
D. current controlled voltage source.
[2004:1 Mark]
[2007 : 2 Marks]

74
www.gradeup.co

7. In the op-amp circuit shown, the Zener 10. For the operational amplifier circuit shown,
diodes Z1 and Z2 clamp the output voltage the output saturation voltages are ± 15 V.
V0 to + 5 V or —5 V. The switch S is The upper and lower threshold voltages for
initially closed and is opened at time t= 0. the circuit are, respectively,

The time t = t1 (in seconds) at which V0


changes state is ……………… . A. +5 V and -5 V
[2011 : 1 Marks] B. +7 V and -3 V
8. An op-amp has a finite open loop voltage C. +3 V and -7 V
gain of 100. Its input offset voltage Vios(= D. +3 V and -3 V
+ 5 mV) is modeled as shown in the circuit
[2016: 2 Marks, Set-2]
below. The amplifier is ideal in all other
11. The amplifier circuit shown in the figure is
respects. Vinput is 25 mV.
implemented using a compensated
operational amplifier (op-amp), and has an
open-loop voltage gain, A0 = 105 V/V and
an open-loop cut-off frequency, fc = 8 Hz.
The voltage gain of the amplifier at 15 kHz,
in V/V, is ……………. .

The output voltage (in millivolts) is ……. .


[2016:2 Marks, Set-1]
9. For the circuit shown in the figure, R 1 = R2
= R3 = 1 Ω, L = 1 μH and C = 1 μF. If the
input Vin = cos(106t), then the overall
voltage gain (Vout/Vin) of the circuit is
[2016: 2 Marks, Set-2]
………… .
12. In the voltage reference circuit shown in
the figure, the op-amp is ideal and the
transistors Q1, Q2, ………….., Q32 are
identical in all respects and have infinitely
large values of common-emitter current
gain (β). The collector current (Ic) of the
[2016:2 Mark, Set-1]

75
www.gradeup.co

transistors is related to their base-emitter In the above circuit, assume the op-amp to
voltage (VBE) by the relation Ic = Is exp be ideal. The voltage (in volts, correct to
(VBE/VT), where Is is the saturation current. one decimal place) at node A, connected to
Assume that the voltage VP shown in the
the negative input of the op-amp as
figure is 0.7 V and the thermal voltage V T
indicated in the figure is
= 26 mV.
[2017 : 2 Mark, Set-1]
15. The output voltage of the regulated power
supply shown in the figure is

The output voltage Vout (in volts) is ………. .


[2016 : 2 Marks, Set-3]
13. In the circuit shown below, the op-amp is A. 3 V B. 6 V
ideal and Zener voltage of the diode is 2.5 C. 9 V D. 12 V
volts. At the input, unit step voltage is [2017:2 Mark, Set-2]
applied i.e. VIN(t) = u(t) volts. Also at t = Common Data for Questions 16 & 17 :
0, the voltage across each of the
A regulated power supply, shown in figure
capacitors is zero
below, has an unregulated input (UR) of 15
Volts and generates a regulated output
Vout. Use the component values shown in
the figure
16. The power dissipation across the transistor
The time t, in milliseconds, at which the shown in the figure is
output voltage Vout crosses -10 V is
A. 2.5 B. 5
C. 7.5 D. 10
[2017:1 Mark, Set-1]
14. An op-amp based circuit is impedance as
shown below.

A. 4.8 watts B. 5.0 watts


C. 5.4 watts D. 6.0 Watts
[2018 : 1 Mark]

76
www.gradeup.co

17. If the unregulated voltage increases by A. Square wave B. triangular wave


20%, the power dissipation across the C. parabolic wave D. sine wave
transistor Q1 [2000 : 1 Mark]
A. increases by 20%
21. The most commonly used amplifier in
B. increases by 50%
sample and hold circuits is
C. remains unchanged
A. a unity gain inverting amplifier.
D. decreases by 20%
B. a unity gain non-inverting amplifier.
[2018:2 Marks]
C. an inverting amplifier with a gain of 10.
DIFFERENT OP-AMP CONFIGURATIONS D. an inverting amplifier with a gain of

18. In the circuit of the figure, V0 is 100.

A. -1 V B. 2 V [2000:1 Mark]
C. +1 V D. +15 V 22. The inverting OP-AMP shown in the figure
has an open-loop gain of 100. The closed-
loop gain V0/Vs is

[2000 : 1 Mark]
19. If the op-amp in the figure, is ideal, then
V0 is

A. -8 B. -9
C. -10 D. -11
[2001 : 2 Marks]
23. In the figure assume the Op-Amps to be
ideal. The output V0 of the circuit is :

A. Zero B. (Va – V2)sinωt


C. –(V1 + V2) sinωt D. (V1 + V2) sinωt
[2000 : 1 Mark]
20. Assume that the op-amp of the figure is
ideal. If Vi is a triangular wave, then V0 will
be t
A. 10 cos(100t) B. 10 cos (100 )d
0

t
d
C. 10−4  cos (100 ) d D. 10−4 cos (100 )
0 dt

[2001 : 2 Marks]

77
www.gradeup.co

24. A 741 -type op-amp has a gain-bandwidth A. 1 V B. 6 V


product of 1 MHz. A non-inverting amplifier C. 14 V D. 17 V
using this op-amp and having a voltage [2003 : 2 Marks]
gain of 20 dB will exhibit a -3 dB 28. Three identical amplifiers with each one
bandwidth of having a voltage gain of 50, input
A. 50 kHz B. 100 kHz resistance of 1 kΩ and output resistance of
1000 1000 250 Ω, are cascaded. The open circuit
C. kHz D. kHz
17 7.07
voltage gain of the combined amplifier is
[2002 : 1 Mark]
A. 49 dB B. 51 dB
25. If the input to the ideal comparator shown
C. 98 dB D. 102 dB
in the figure is a sinusoidal signal of 8 V
[2003:2 Marks]
(peak to peak) without any DC component,
29. The circuit in the figure is
then the output of the comparator has a
duty cycle of

1 1
A. B.
2 3 A. low-pass filter
1 1 B. high-pass filter
C. D.
6 12
C. band-pass filter
[2003 : 1 Mark]
D. band-reject filter
26. If the differential voltage gain and the
[2004:1 Mark]
common mode voltage gain of a
30. In the op-amp circuit given in the figure,
differential amplifier are 48 dB and 2 dB
the load current iL is
respectively, then its common mode
rejection ratio is
A. 23 dB B. 25 dB
C. 46 dB D. 50 dB
[2003:1 Mark]
27. If the op-amp in the figure is ideal, the
output voltage Vout will be equal to

Vs Vs
A. − B.
R2 R2

Vs Vs
C. − D.
RL R1

[2004 : 2 Marks]

78
www.gradeup.co

31. The input resistance Rj of the amplifier A. high pass, 1000 rad/sec.
shown in the figure is B. low pass, 1000 rad/sec.

C. high pass, 10000 rad/sec.

D. low pass, 10000 rad/sec.

[2005:2 Marks]

34. For the circuit shown in the following

figure, the capacitor C is initially

30 uncharged. At t = 0, the switch S is closed.


A. k B. 10 k Ω
4
The voltage Vc across the capacitor at t = 1
C. 40 k Ω D. infinite
millisecond is
[2005 : 1 Marks]
32. The voltage e0 indicated in the figure has
been measured by an ideal voltmeter.
Which of the following can be calculated?

(In the figure shown above, the Op-Amp is

supplied with ±15 V.)


A. Bias current of the inverting input only. A. 0 Volt B. 6.3 Volts
B. Bias current of the inverting and non- C. 9.45Volts D. 10 Volts
inverting inputs only.
[2006 : 2 Marks]
C. Input offset current only.
35. For the Op-Amp circuit shown in the figure,
D. Both the bias currents and the input
V0 is
offset current.
[2005:2 Marks]
33. The OP-amp circuit shown in the figure is a
filter. The type of filter and its cut-off
frequency are respectively

A. -2 V B. -1 V

C. -0.5 V D. 0.5 V

[2007 : 2 Marks]

79
www.gradeup.co

36. In the OP-Amp circuit shown, assume that 39. Consider the following circuit using an ideal
the diode current follows the equation I = OP-Amp. The I-V characteristics of the
 V  diode is described by the relation
Is exp   . For Vi = 2 V, V0 = V01, and
 VT   V 
I = I0  e VT − 1  where VT = 25 mV, I0 = 1
for Vi = 4V, V0 = V02, The relationship  
 
between V01 and V02 is
μA and V is the voltage across the diode
(taken as positive for forward bias).

A. V02 = 2V01

B. V02 = e2 V01
C. V02 = V01 ln 2
D. V01 – V02 = VT ln2 For an input voltage Vi = 1 V, the output
[2007 : 2 Marks] voltage V0 is
Linked Answer Questions 37 and 38 : A. 0 V B. 0.1 V
Consider the Op-Amp circuit shown in the C. 0.7 V D. 1.1 V
figure. [2008 : 2 Marks]
40. The OP-Amp circuit shown below
represents a

37. The transfer function V0(s)/Vi(s) is


1 − sRC 1 + sRC
A. B.
1 + sRC 1 − sRC
1 1
C. D.
1 − sRC 1 + sRC
A. high pass filter B. low pass filter
[2007 : 2 Marks]
C. band pass filter D. band reject filter
38. If Vi = V1 sin (ωt) and V0 = V2 sin (ωt + ϕ),
[2008 : 2 Marks]
then the minimum and maximum values of
41. In the circuit shown below, the op-amp is
ϕ (in radians) are respectively
ideal, the transistor has VBE = 0.6 V and β
−  
A. and B. 0 and
2 2 2 = 150. Decide whether the feedback in the

− circuit is posiive or negative and determine


C. − and 0 D. and 0
2 the voltage V at the output of the op-amp.
[2007 : 2 Marks]

80
www.gradeup.co

A.

B.

A. Positive feedback, V = 10V


B. Positive feedback, V = 0V
C. Negative Feedback, V = 5 V
C.
D. Negative feedback, V = 2 V
[2009 : 2 Marks]
42. Assuming the OP-Amp to ideal, the voltage
gain of the amplifier shown below is

D.

[2010 : 2 Marks]
44. The circuit below implement a filter
between the input current ii and output
R R
A. − 2 B. − 3 voltage v0. Assume that the op-amp is
R1 R1
ideal. The filter implemented is a
 R || R3   R + R3 
C. −  2  D. −  2 
 R1   R1 

[2010 : 1 Mark]
43. The transfer characteristic for the precision
rectifier circuit shown below is (assume
ideal OP-Amp and practical diodes)

A. low pass filter


B. band pass filter
C. band stop filter
D. high pass filter
[2011:1 Mark]

81
www.gradeup.co

45. The circuit shown is a 48. In the low-pass filter shown in the figure,

for a cut-off frequency of 5 kHz, the value

of R2 (in k Ω) is ………… .

1
A. low pass filter with fSdB = rad / s
( 1 R2 ) C
R +

1
B. high pass filter with fSdB = rad / s
R1C

1 [2014 : 1 Mark, Set-1]


C. low pass filter with fSdB = rad / s
R1C
49. In the Voltage regulator circuit shown in
D. high pass filter with fSdB = 1
rad / s the figure, the op-amp is ideal. The BJT
(R 1 + R 2 ) C
[2012 : 2 Marks] has VBE = 0.7 V and β = 100, and the

46. In the circuit shown below what is the zener voltage is 4.7 V. For a regulated
output voltage (Vout) if a silicon transistor output of 9 V, the value of R (in Ω) is ………
Q and an ideal op-amp are used?

A. -15 V B. -0.7 V [2014 : 2 Marks, Set-1]


C. + 0.7 V D. + 15 V 50. In the circuit shown, the op-amp has finite
[2013 : 1 Mark] input impedance, infinite voltage gain and
47. In the circuit shown below the op-amps are
zero input offset voltage. The output
ideal. Then Vout in volts is
voltage Vout is

A. –I2 (R1 + R2) B. I2R2


A. 4 B. 6
C. I1R2 D. –I1 (R1 + R2)
C. 8 D. 10
[2014 : 2 Marks, Set-1]
[2013 : 2 Marks]

82
www.gradeup.co

51. In the differential amplifier shown in the 53. The circuit shown represents

figure, the magnitudes of the common-

mode and differential-mode gains are ACM

and AD, respectively. If the resistance RE is

increased, then

A. a bandpass filter
B. a voltage controlled oscillator
C. an amplitude modulator
D. a monostable multivibrator
[2014:1 Mark, Set-4]
54. In the circuit shown, assume that the op-
amp is ideal. The bridge output voltage V0
(in mV) for δ = 0.05 is …….. .

A. ACM increase

B. common-mode rejection ratio increases

C. Ad increase

D. common-mode rejection ratio decreases

[2014:1 Mark, Set-2]

52. Assuming that the Op-amp in the circuit [2015 : 2 Marks, Set-1]
55. In the circuit shown, V0 = VoA for switch SW
shown is ideal, V0 is given by
in position A and Vo = VoB for SW in
position B. Assume that the op-amp is
VOB
ideal. The value of is ……… .
VOA

5 5
A. V − 3V2 B. 2V1 − V
2 1 2 2

3 7 11
C. − V + V D. −3V1 + V
2 1 2 2 2 2

[2014 : 2 Marks, Set-3]


[2015 : 1 Mark, Set-2]

83
www.gradeup.co

56. In the bistable circuit shown, the ideal op- 59. In the circuit shown using an ideal op-amp,

amp has saturation levels of ±5 V. The the 3-dB cut-off frequency (in Hz) is ………..

value of r1 (in k Ω) that gives a hysteresis

width of 500 mV is …….. .

[2015 : 1 Mark, Set-3]


60. In the circuit shown, assume that the op-
VO
amp is ideal. If the gain is -12, the
Vin

57. For the voltage regulator circuit shown, the value of R (in Ω) is ………… .

input voltage (Vin) is 20 V ±20% and the

regulated output voltage (Vout) is 10 V.

Assume the op-amp to be ideal. For a load

RL drawing 200 mA, the maximum power

dissipation in Q1 (in Watts) is …….. .

[2015 : 2 Marks, Set-3]


61. Consider the constant current source
shown in the figure below. Let β represent
the current gain of the transistor.

[2015 : 2 Marks, Set-2]

58. Assuming that the op-amp in the circuit

shown below is deal, the output voltage V0

(in volts) is …….. .

The load current I0 through RL is


  + 1  Vref    Vref
A. I0 =   B. I0 =  
   R   + 1 R
  + 1  Vref    Vref
C. I0 =   D. I0 =  
   2R   + 1  2R

[2015 : 2 Marks, Set-2] [2016 : 1 Mark, Set-1]

84
www.gradeup.co

62. The following signal Vi of peak voltage 8 V The number of times the LED glows is ………
applied to the non-inverting terminal of an [2016 : 1 Mark, Set-1]
ideal op-amp. The transistor has VBE = 0.7 63. In the circuit shown below, all the
V, β = 100; VLED = 1.5 V, VCC = 10 V and – components are ideal. If Vi is +2V, the
VCC = -10 V current I0 sourced by the op-amp is ……
mA

[2020 : 1 Mark]

ANSWER

1.D 2.A 3.C 4. B 5.(15) 6.(-8) 7. (0.7985) 8. (413.8) 9.(-1) 10.B

11. (44.4) 12. (1.145) 13.C 14. (0.5) 15.C 16.D 17.B 18.D 19.C 20.A

21.B 22.D 23.A 24.B 25.B 26.C 27.B 28.C 29.A 30.A

31.B 32.C 33.A 34.C 35.C 36.D 37.A 38.C 39.B 40.B

48. 49.
41.D 42.A 43.B 44.D 45.B 46.B 47.C 50.C
(3.1 to 3.26) (1092 to 1094)

51.B 52.D 53.D 54.C 55. (11-12) 56.(1) 57.(2.8056) 58.(11-12) 59.(159.15) 60.(1)

61.B 62.(3) 63. (6)

85
www.gradeup.co

86
www.gradeup.co

87
www.gradeup.co

88
www.gradeup.co

SOLUTION

1. Vo = Vi . Av Using superposition, the output can be


But Vo oscillates behei + Vsat to –Vsat shown by
Therefore, Vo = ± 15 Volts  R 
V0 = 1 + f 
Vo = 5 × 10-3 × 10,000 = ± 50 V  RN 

2. Characteristic of ideal op-amp:  Rp Rp Rp 


 Vp1 + Vp2 + .... Vpn 
AoL = ∞ R1 = ∞, Ro = ∞, BW = ∞, CMRR  Rp1 Rp2 RPN 
= ∞, Slew rate = ∞ R R R 
−  f VN1 + f VN2 + .... f VNn 
3. As. 20 log A = 40  RN1 RN2 RNn 
∴ A = 100 Where RN = RN1 || RN2 || …… || RNn
Now, V0 = A Vm sin ωt And RP = RP1 || RP2 …. RPN || RPO
dV0 In the problem given
Or V0 = = AVm 2f
dt max
Rf = RN1 = RN2 = …….
= RNn = 10 k Ω
dV0 1
 Vm = . RP1 = RP2 = RP3 = ………
dt max
2Af
= RPN = RPO = 1 k Ω
106  
=
2  100  20  103  10k 
 V0 = 1 +
= 79.5 mV   10k  
  n 
4. Ideal op-amp is an ideal voltage controlled   

voltage source.  1k  1k  
 1+n
5. Here an ideal op-amp has voltage source ( ) V +  1 + n  V + ....
 
 1k p1
1k p2

V1, V3, V5, ….., NN-1 connected to the non-  
 
inverting input and V2, V4, V6, …….., VN
10k 10k 
connected to the inverting input as shown − VN1 + VN2 + ....
10k 10k 
in the fig. below.
∴ V0 = (Vp1 + Vp2 + ….. VPn) – (VN1 + VN2 +
….. VNn)
If the series approaches ∞ then
 1 1 1   −1 −1 −1 
V0 = 1 + + + ...... −  . − ......
 3 5 7   2 4 6 
1 1 1 1
= 1 + + + + +. . . . . = ∞
2 3 4 5
This series is called harmonic series which
is a divergent infinite series
∴ V0 = + ∞ = + Vsat
= +Vcc = 15 V
Hence, the output voltage is 15 V
(positive).

89
www.gradeup.co

6. From given data VB exceeds VA (-1V) so that V01 changes


The photo diode with responsivity 0.8 A/W from -10 V to 10 V
∴ Diode current = 0.8 A/W [10 μW]
⇒ V0 changes from -5 V to 5 V
= 8 × 10-6 A = 8 μA
VB = Vf + (Vi – Vf)e-t/τ
V0 = - 8 μ (1 M)
= - 8 × 10-6 × 10-6 V = - 8 V = -1 0 + [10 – 1 – 10]e-t/RC

−8 −8 (Since τ = RC)
IL = =
10k 10  103
At t = T1, VB = -1
−8
= = −8  10−4 A -1 V = -10 + 20 e-T1/RC
104
= - 800 × 10-6 A 20
 T1 = RC ln
9
= - 800 μA
= 10 × 103 × 100 × 10-6 × 0.798

= 0.798 sec

Hence, the required time at which V0

changes state is 0.798 s.

8. Overall input = Vios + Vinput

(Here Vios = input offset voltage)


Hence, the value of photo current
(throughput the load) is -800 μA. = 5 mV + 25 mV = 30 mV

7. Initially switch is closed and VB = 10 V  RF 


1 + 
⇒ V01 = -10 V  R1 
V0 =  Overall input
⇒ V0 = -V2 = -5V 1  RF 
1+ 1 + 
AOL  R1 
V0 −5
 VA =  1K =  K = −1 V
4K + 1K 5K 15k
1+
= 1k  30  10−3
1  15k 
1+ 1+
100  1k 

16k
= 1k  30  10−3
1  16k 
1+ 1+
100  k 

16
=  30  10−3  100
116
At t = 0;
The switch is openend ans t → ∞, VB 48000
=  10−3 V = 413.79 mV
116
approaches – 10 V.
Let at t = T1. Hence, the output Vo is 413.79 mV

90
www.gradeup.co

9. 11. In the given circuit,


R1 1
Feedback factor (β) = =
R1 + R 2 80

From above fig

Vx 1 106 s + 106
=1− =1+ =
Vin −6
10 s s s

 
 −1  V −s
V0 =   vx = 0 − A0
6
V s + 106  Anf = = 80
1 + 10  x 1 + A 0
 s 
And f’c = fc ( 1 + A0 β)
V0 V V  −s   s + 10  6
 105 
 = 0. x =   = −1
Vin Vx Vin  s + 106   s  = 8 1 +
80 
 Hz = 10, 008Hz

v  Now Gain at f = 15 kHz given by


∴ overall voltage gain  0  = −1
 Vin  A0f
Af =
 f 
10. 1+ 
 f 'c 
80
= 44.4
  15000  
5

1 +   
  10008  

13. For t > 0

At node V1,

V1 =
(3  10) + ( V0  5)
15
1V
I= = 1mA
30 + 5V0 1k
=
15 Till t = 2.5 msec, both V1 and V2 will
increase and after t = 2.5 m sec, V1 = 2.5
5 (6 + V0 )
=
15
( 6 + V0 ) =
3 V and V2 increases with time.
When Vout (t) = -10V, V1 = 7.5 V
6 + 15
Now, VUT = = 7V 1
t
3 So,
1F  (1mA ) dt = 7.5V
0

6 − 15
And VLT = = −3V 103 t = 7.5
3
t = 7.5 msec

91
www.gradeup.co

14. Applying the concept of virtual ground, we Since, supply voltage (15 V) > Vz (6 V).
get, So, Zener diode will be in breakdown
R2 region.
V0 = .V [∴ non-inverting amplifier]
R1 in V+ = Vz = 6 V
31k There is a negative feedback through base-
 V0 =  1V
1k emitter junction of transistor. From virtual
V0 = -31 V < -15 V ground concept,
[Not possible] V+ = V- = 6 V.
Hence, the output voltage of the op-amp is V 6
From figure, I3 = = = 0.25 mA
equal to -15 V. 24 24
I2 = I3 = 0.25 mA
VE = I2 × 12 + V_ = 0.25 × 12 + 6 = 9 V
VE v 9
I1 = = 0 = = 0.75 mA
12 12 12
If there is no information of β of transistor
then we assume β = ∞,
Now applying KCL at node ‘A’, we get IB = 0A. Ic  IE = I2 + I1 = 0.75 + 0.25 = 1mA
VA − 1 v A − ( −15)
+ =0 From figure, VC = 15 V
1k 31k
VCE = 15 – 9 = 6 V
VA vA −15 1
+ = + Power dissipation across transistor, PD =
1k 31k 31k 1k
VCB × IC = 6 × 1 = 6 m W.
1 1  15
 VA  +  = − 31 + 1 17. If unregulated power supply increases by
 1 31 
20%, i.e., new unregulated power supply
VA = 0.5 V
is,
15. The voltage at OPAMP inputs, v+ = V- = V2
20
= 3 volts then, by voltage division rule, V 's = 15 +  15 = 18V
100
V0
 20 = 3 or V0 VCE = VC – VE = 18 – 9 = 9 V
20 + 40
PD = V’CE × IC = 9 × 1 = 9 mW
=9V
Increase in power dissipation is
16.
P 'D − PD 9−6
 100 =  100 = 50%
PD 6

18. Because of positive feedback


V0 = + Vsat = +15 V
With positive feedback op-amp operates in
saturation region V0 = ±Vsat. Here + 1 volt
is applied at non inverting terminal, hence
V0 = +Vsat = +15 V

92
www.gradeup.co

19. 23.

KCL at node (1),


Vs 1
= −  V2dt
Using KCL at inverting terminal, 10 L

( V1 sin t − 0) + ( V2 sin t − 0) = 0 − V0 −10


L  2
  Vs = V dt …….(i)
1 / 0 1 / 0 1 / 0
KCL at node (3),
⇒ V0 = -(V1 + V2) sin ωt
v3 − V2 dV
dv  =C 0
20. V0 = −RCVi = −RC i 100 dt
dt
dV0
21.  −V2 = 100C  V3 = 0
dt 
1
 V0 =
10−3  V dt
2

From equation (i), we get


V0 = 10 cos 100t
24. Gain – bandwidth product = BW × A
Buffer is used in sample and hold circuit ⇒ 106 = BW × A ….. (i)
Generally, a buffer is a unity gain non- Given, 20 log A = 20
inverting amplifier. ⇒ A = 10
22. Put A in equation (i)
Then BW = 105 Hz
= 100 kHz
25. The output voltage waveform is shown in
the figure,

KCL at inverting node,


Vs − Vi V − V0
= i
R1 R2

V   V0  Here, at Vi = 2, sin ωt = ½
Vs −  0    − V0
  Av  =  Av  Or t =

R1 R2 6

V0 A .R 2  
 = TON − −
6 6
Vs (R 1 + R 2 ) − R 1 A  Duty cycle
T
=
2
100.10 1
=  −11 =
11 − 100 3

93
www.gradeup.co

Ad
26. CMMR =
Ac

Ad
Taking log on, log (CMMR) = log
Ac

⇒ 20 log (CMMR) = 20 log Ad – 20 log Ac = Vo = 60V3


48 – 2 = 46 dB 1000
V3 =  50V2 = 40V2
27. By using voltage division rule,  1250
38 8 1000
Va = = V V2 =  50V1 = 40V1
1+8 3 1250
V0 = 50 × 40 × 40 V1
v0
AV =
V1
= 50 × 40 × 40
= 8 × 104
∴ Av in dB = 30 log (8 × 104) = 98 dB
29. The circuit is second-order low-pass filter.
Vs − V1 V − Vo
30. = 1
Applying KCL at inverting terminal R1 R1
Va − V1 Va − Vout Vs + Vo
+ =0  = V1
1 5 2
8 8 V1
 3  − 2  3  − Vout IL =
  RL
+  =0
1 5
Vo − V1 V V
= 1 + 1
40 8 R1 R2 RL
− 10 + − Vout = 0
3 3
 R 
Vo =  2 + 2  V1
48 RL 
 Vout = − 10 = 16 − 10 = 6V 
3
( 2 V1 – Vs)
28. The voltage amplifier can be represented
R 2 V1
= −Vs
as RL

V1 −Vs
=
RL R2

For three such cascaded amplifiers

94
www.gradeup.co

31. For an ideal OPAMP, Rin = ∞, Ro = 0, Av = j2cRC 1


 =
∞ jRCc + 1 2

(2cRC )
2
1
 =
1 + (RCc )
2
2

2c 1
 =
2c + 106 2
⇒ ωc = 1000 rad /sec
34. Virtual grand is NOT applicable, became
op-amp is in saturation
From the equivalent circuit, Ri = 10 k Ω
Equivalent circuit for an ideal OPAMP.
32. Only input offset current can be measured.
33. Using KCL at riverting terminal,
V− − Vo V− − 0
+ =0
10k 10k

V0
 V− = …… (A)
2
V0 = 15 = + Vsat
At the non-inverting terminal,
 t

V+ V+ − Vi Vc = 15 1 − eRC 
+ =0  
R 1
CS (
Vc = 15 1 − e−1000t )
Since V+ - V- Vc = 9.45 Volts
1  35. In Op-Amp
Then, V+  + CS  = CSVi
R 

Vo 1 
2  R + CS = CS Vi
 

vo ( s ) 2RCS
 =
Vi ( s ) RCS + 1

vo ( j) 2RCj V1 V2
 = T ( j) =
Vi ( j) RCj + 1
 1  1
V2 = 1    = = 0.5 volts
When ω → 0, |T(jω)| → 0 1 + 1 2

When ω → ∞, |T(jω)| = 2 Apply KCL at V1,

Therefore this is high-pass filter. At cut-off V0 − V1 V1 − 1


=
2k 1k
frequency
⇒ 3 V 1 – 2 = V0
1
T ( j) = T ( j) ⇒ 3 × 0.5 – 2 = V0
c =c
2 =

∴ V0 = -0.5 Volts

95
www.gradeup.co

36. 38. Given : Vi = V1 sin (ωt), V0 = V2 sin (ωt +


ϕ)

T ( j) = − tan−1 ( RC ) − tan−1 ( RC )

= -2 tan-1 (ωRC)

 = T ( j) = −2 tan−1 ( RC )


This is a circuit diagram of log amplifier

V0 = -VT ln Vi + C ω = 0, ϕ = 0° → Maximum

V01 = - VT ln Vi1 + C ω → ∞,  = − minimum

⇒ V01 = -VT ln2 + C 1


39. I = = 10 A
100k
And V02 = - VT ln Vi2 + C
I0 = 1 μA
⇒ V02 = - VT ln 4 + C

∴ V01 – V02 = - VT ln 2 + VT ln 4

= VT ln 2

37. Applying KCl at non- inverting terminal,

V − Vi V
+ =0
R 1
SC  Vo 
 10 A = 1 A e25 − 1
 1  Vi  
V  sC +  =
 R  R ⇒ VD = 60 mV
1 Voltage drop across 4K resistance
V= .V
1 + sRC i V1 = 4 k × 10 μA = 40 mV
Total voltage output = V1 = VD = 1
= (40 + 60) mV
= 0.1 Volts
Vo R 1 R2
40. = − F ,RF = R 2 || =
Vi Ri SC R 2Cs + 1

Applying KCL at inverting terminal,

V − Vi v − Vo
+ = 0  2V − Vi = Vo
R R

2Vi
From eq. (i), = Vi = Vo
1 + sRC

vi (1 − sRC )
= Vo Ri = R1 + sL
1 + sRC
Vo K
Vo (S)
1 − sRC =
Transfer function, T (S) = = Vi (R1 + sL ) (R2Cs + 1)
Vi (S) 1 + sRC

96
www.gradeup.co

K 43.
=
 f    f 
1 + j  1 + j  
 f 'H    f ''H  

Vo
As = 0 , it is a low pass filter.
Vi →0

41. For ideal op-amp, voltage at non-inverting


point is equal to inverting point, so current
Since input is connected to negative
from 5 k Ω resistor is
terminal, so output always positive.
10 − 5
= 1mA Since VA = 0 (virtually grounded)
5k
Case 1 : i1 + i2 + i3 = i
Ideal op-amp has no current in input, so
20 Vi V0
Ic = 1 mA  IE  + + =i
4R R R
Vo = 1.4 × 1 mA + 0.6 = 2 Volts

If V0 = +ve, diode not conduct, so I = 0

20 Vi V0
 + + =i
4R R R
42. ⇒ 5 + vi + V0 = 0

⇒ V0 = -5 – Vi  0

 −Vi  5

 Vi  −5

Case 2 : Since diode will conduct, hence


For Vi > -5, V0 = 0

For input Vi = -1 0,
As V2 – V1 = V2 – V3
V0 = - 5 + 10 = + 5 volts
R2 and R3 in parallel.
44. When ω = 0, inductor acts as a short
Using KCL at node (1), we have
circuit
0 − Vi 1 1
 + 0 − vo  + =0 So, V0 = 0
R1  R2 R3 
When ω = ∞, inductor acts as open circuit
Vo  R || R3 
Or, = − 2  ⇒ V0 = i1R1
Vi  R1 
So, it acts as a high pass filter

97
www.gradeup.co

45. 49. Given circuit is an op-amp series regulator,


Vo is given by
𝑅2
𝑉0 (𝑆) = − ( 1 ) 𝑉𝑖
(𝑠);
𝑅1 +  R 
𝐶𝑠 V0 = 1 + 1  Vz
 R2 
𝑅2 𝐶𝑆
𝑉0 (𝑠) = − 𝑉 (𝑠)
(𝑅1 𝐶𝑆 + 1) 𝑖  1k 
9V = 1 +  4.7
1  R2 
Thus cut off frequency is and the filter
R1C
R2 = 1093.02 Ω
is high pass filter. 50. Given, Zi = ∞
46. Using the concept “virtual ground” in an AOL = ∞
operational amplifier, we can set the Vi0 = 0
voltage at the point to zero volts since the
non inverting terminal is grounded.
Once VA = 0, VC will also be zero
We know that for silicon n-p-n transistor,
VBE = VB – VE = 0.7 V
Since, VB = 0 ⇒ VE = - 0.7 V
R1R 2
Hence the output voltage is the same as V2 = (R1 / /R 2 ) I1 = i …..(1)
R1 + R 2 1
the emitter voltage
KCL at inverting node
So, Vout = - 0.7 V
V2 V2 − V0
47. + = 0 ( Zi =  )
R1 R2

V0 1 1
= V2  + 
R2  R1 R2 

V0  R1R2   R2 + R1 
= I  
R2  R1 + R2  1  R1R2 

⇒ V0 = I1 R2
51.

1 −1 1
𝑉𝑜𝑢𝑡 = +1𝑉 (1 + ) − 2𝑉 ( ) [1 + ]
1 1 1
⇑ ⇑ ⇑
𝐺𝑎𝑖𝑛𝑜𝑓 𝐺𝑎𝑖𝑛𝑜𝑓 𝐺𝑎𝑖𝑛𝑜𝑓
𝑛𝑜𝑛𝑖𝑛𝑣𝑒𝑟𝑡𝑦 𝑖𝑛𝑣𝑒𝑟𝑡𝑦 𝑛𝑜𝑛𝑖𝑛𝑣𝑒𝑟𝑡𝑦
[ 𝑎𝑚𝑝(1) 𝑎𝑚𝑝(1) ] 𝑎𝑚𝑝(2)

= [1 × 2 + 2] × 2 V = 8 V
48. f = 5 KHz
1
Cut off frequency (LPF) = = 5KHz
2R 2C

1
 R2 = = 3.18 k
2  5  103  10  10−9

98
www.gradeup.co

Ad does not depend on RE 55. When switch is in position A:

Acm decreases as RE is increased

Ad
 CMRR = = Increases
A cm

52. Virtual ground and KCL at inverting

terminal gives Applying KCL at inverting terminal,


Va − 5 Va − VoA
+ =0
1 1
V0A = 2Va − 5

1
Va =  1 = 0.5V (voltage division
1+1
rule)
V0A = 2 × 0.5 – 5 = –4 V
Where switch is in position B:

V2 − V1 V2 V
+ + 2 =0
R 2R 3R

V0 V V V V
= 2 + 2 + 2 − 1
3R R 3R R R

11 Non-inverting input, Va = 0V.


V0 = −3V1 + V
2 2 Applying KCL at inventing terminal
53. The given circuit is a monostable 0−1
+
0−5
+
0𝑉𝑂𝐵
=0
1 1 1
multivibrator where Vi acts as a trigger to V0B = – 6V
change output state, while charging of V0B −6
 = = 1.5.
capacitor C1 bring the output state to V0A −4

original state. 56.

54. When Vi makes Diode ‘D’ OFF

V 0 = Vi

 V0 (min) = –5 V
When Vi makes diode ‘D’ On,

(Vi − 0.7 − 2)
V0 = + Von + 2V
R1 + R 2

(5 − 0.7 − 2)1k Hysteresis = VTH – VTL


 V0 (max) = + 0.7 + 2V
1k + 1k
R  R 
= − L−  1  + L+  1 
= 3.85 V  R2   R2 

99
www.gradeup.co

 R   R  1
500 mV = − (−5)  1  + 5  1  59. f3db =
 20k   20k  2RC

R1 1
=
= 2  10  103  0.1  10−6
2k
= 159.15 Hz
 R1 = 500 × 2 × 103 × 10–3
60. Applying KCL at inverting terminal,
= 1000  = 1k 
57.

0 − Vi 0 − Vx
+ =0
10 10
Vx = –Vi …. (i)
PQ1(max) = VCE(max) × Ic max …(i)
Applying KCL at Vx,
VCE(max) = (24 – 10) V
Vx Vx Vx − V0
+ + =0
Ic max = (200 + 0.4) mA 10 R 10
IE = Ic = 200 mA + 0.4 mA −Vi Vi Vi V
− − = 0 (using eq. (i))
= 200.4 mA 10 R 10 10
 4−0  10 V
 IR2 = IR1 = 10 mA  −1 − −1 = 0
  R Vi

Put values in equation (i), we get −10 V


−2 = 0
PQ1(max) = 14 × 200.4 × 10–3 Watt R Vi

= 2.8056 Watt V0
= −12
58. From the given circuit, Vi

−10
− 2 = −12
R
10
= 10
R
R = 1 k
61.

V+=1 V, V–=0V.(V>V–).
Virtual ground concept is not applicable
when both internal terminals are known.
So ideal op-Amp will acts as a comparator
circuit.
⇒ Vo=+Vsat=+12V

100
www.gradeup.co

From the given figure, we have So, the LED glows 3 times
VP = VN (Virtual short) 63. Applying virtual ground
      VZ
I0 = Ic =   IE =  
  + 1   + 1 R

   Vref
 
  + 1 R
62.

I1 = If

Vo = 4V

∴ Io = IL + If = 4 mA + 2 mA
(∵ If = I1 = 2mA)
Vi crosses 2V, 3 times
= 6mA

❖❖❖❖

101
www.gradeup.co

102
5
www.gradeup.co

Feedback &
Power Amplifier

Analysis of Previous GATE Papers

2017 Set 2
2017 Set 1

2016 Set 1

2016 Set 2

2016 Set 3

2015 Set 1

2015 Set 2

2015 Set 3
2020

2019

2018
Year →
Topic ↓

MCQ Type 1 1
1 Mark
Numerical Type
Feedback (Concept &
MCQ Type
Connection Types)
2 Marks
Numerical Type

Total 1 1
MCQ Type
1 Mark
Numerical Type
Power Amplifier
MCQ Type
(Definition & Types)
2 Marks
Numerical Type

Total

103
www.gradeup.co

FEEDBACK B. increase both input and output


(CONCEPT & CONNECTION TYPES) resistances
C. decrease both input and output
1. In a negative feedback amplifier using
resistances.
voltage- series (i.e. voltage-sampling,
D. decrease the input resistance and
series mixing) feedback.
increase the output resistance
A. Ri decreases and R0 decreases
B. Ri decreases and R0 increases [2005 :1 Mark]

C. Ri increases and R0 decreases 5. The input impedance (Zi) and the output

D. Ri increases and R0 increases impedance (Z0) of an ideal trans-


(Ri and R0denota the input and output conductance (voltage controlled current
resistance respectively.) source) amplifier are
[2002 :1 Marks] A. Zi = 0, Z0 = 0
2. An amplifier without feedback has a B. Zi = 0, Z0 = 
voltage gain of 50, input resistance of 1 k C. Zi=  , Z0 = 0
 and output resistance of 2.5 k  . The
D. Zi=  , Z0 = ∞
input resistance of the current- shunt
[2006 : 1 Marks]
negative feedback amplifier using the
6. In a transconductance amplifier, it is
above amplifier with a feedback factor of
desirable to have
0.2 is
A. a large input resistance and a large
1 1
A. k B. k output resistance
11 5
C. 5k D. 11k B. a large input resistance and a small

[2003 :2 Marks] output resistance

3. Voltage series feedback (also called C. a small input resistance and a large
series-shunt feedback) results in output resistance
A. increase in both input and output D. a small input resistance and a small
impedances output resistance
B. decrease in both input and output [2007 : 1 Mark]
impedances 7. In a voltage-voltage feedback as shown
C. increase in input impedance and below, which one of the following
decrease in output impedance
statements is TRUE if the gain k is
D. decrease in input impedance and
increased?
increase in output impedance
[2004 : 1 Mark]
4. The effect of current shunt feedback in an
amplifier is to
A. increase the input resistance and
decrease the output resistance

104
www.gradeup.co

A. The input impedance increases and A. Voltage shunt feedback


output impedance decreases. B. Current series feedback
B. The input impedance increases and C. Current shunt feedback
output impedance also increases. D. Voltage series feedback
C. The input impedance decreases and [2014 :1 Mark, Set-2]
output impedance also decreases. 10. The desirable characteristics of a
D. The input impedance decreases and transconductance amplifier are
output impedance increases. A. High input resistance and high output
[2013 : 1 Mark] resistance
8. In the ac equivalent circuit shown in the B. High input resistance and low output
figure, if iin is the input current and RF is resistance
very large, the type of feedback is C. Low input resistance and high output
resistance
D. Low input resistance and low output
resistance
[2014 :1 Mark, Set-3]
11. A good transconductance amplifier should
have
A.High input resistance and low output
A. Voltage-voltage feedback resistance
B. Voltage-current feedback B.Low input resistance and high output
C. Current-voltage feedback resistance
D. Current-current feedback C.High input and output resistances
[2014 :1 Mark, Set-1] D.Low input and output resistances
9. The feedback topology in the amplifier [2017 : 1 Mark, Set-1]
circuit (the base bias circuit is not shown 12. A good transimpedance amplifier has
for simplicity) in the figure is A. low input impedance and high output
impedance
B. High input impedance and high output
impedance
C. High input impedance and low output
impedance
D. Low input impedance and low output
impedance
[2018 :2 Marks]

105
www.gradeup.co

POWER AMPLIFIER [2000 :1 Marks]


(DEFINITION & TYPES) 14. Crossover distortion behaviour is
characteristic of
13. A power amplifier delivers 50 W output at
A. Class A output stage
50% efficiency. The ambient temperature
B. Class B output stage
is 25°C. If the maximum allowable junction
C. Class AB output stage
temperature is 150° C, then the maximum
D. Common-base output stage
thermal resistance gc that can be
[2004 :1 Mark]
tolerated is …… .

ANSWER

1. C 2. A 3. C 4. D 5. D 6. A 7. A 8. B 9. B 10. A

11. C 12. D 13. (5°) 14. B

SOLUTION

1. Rif = Ri (1 + A) Current shunt feedback network

𝑅𝑜𝑓 =
𝑅0 As feedback network is connected in
1+𝛽𝐴
series, with output. Output resistance (Rof)
2. In current-shunt, negative feedback
increases, and the same is connected in
amplifier, the input resistance,
shunt with the source resistance RS, input
' Ri
R =
i resistance decreases.
1 + A
1k 5.
=
1 + 50  0.2
 1
=   k
 11

3. Voltage series feedback results in increase


in i/p impedance and decrease in o/p
impedance. Noton’s equivalent circuit of a current

4. amplifier
For ideal transconductance amplifier,
output current is proportional to the signal
voltage independent of the magnitudes of
RS and RL.

106
www.gradeup.co

6. 10. A good transconductance amplifier should


have very high input resistance and very
high output resistance.
11. A good transconductance amplifier should
have very high input resistance and very
high output resistance.
12. A good trans-impedance amplifier should
Input resistance, Rif = Ri (1 +  Gm) have low input impedance and low output

So high input resistance impedance

Output resistance, Rof = Ro (1 +  Gm) 13. PD = Power dissipated


50
So, Output resistance also will be high. = 50  = 25W
100
7.
Given, Tj = 150° C,
TA = 25° C
From
Tj − TA
PD =
 jc
150 − 25 125
 jc = = = 5 C / W
Given configuration is of voltage series 26 25

feedback topology for voltage series 14. Cross over behaviour is characteristic of

feedback, class B output stage as the amplifier is

(i) Input impedance increases by factor biased at cut-off. Here 2 transistors are

(1+A0K), i.e., Rif = R1 (1+AOK). operated one arranged to amplify the


(ii) Output impedance decreases by factor positive going portion and other for

Ro negative going portion. The corss-over


(1+AOK), i.e., Rof =
1 + Aok distortion is removed by usig class AB
8. Output sample is voltage and is added at stage.
the input or current
 It is voltage-shunt negative feedback
i.e., voltage-current negative feedback

❖❖❖❖

107
www.gradeup.co

108
6
www.gradeup.co

Analog Circuits

Analysis of Previous GATE Papers

2017 Set 1

2017 Set 2

2016 Set 1

2016 Set 2

2016 Set 3

2015 Set 1

2015 Set 2

2015 Set 3
2020

2019

2018
Year →
Topic ↓

MCQ Type 1
1 Mark
Numerical Type
MCQ Type 1 1
Sinusoidal Oscillators 2 Marks
Numerical Type

Total 2 1 2

MCQ Type 1
1 Mark
Numerical Type 1

Function Generator & MCQ Type


2 Marks
Wave Shaping Circuits Numerical Type

Total 1

109
www.gradeup.co

SINUSOIDAL OSCILLATORS Vt (f) 1


If at a frequency fo ,B(f) = = 0 ,
Vo (f) 6
1. The configuration of the figure is a
then to sustain oscillation at this
frequency.

A. Precision integrator
B. Hartley oscillator
A. R 2 = 5R1 B. R 2 = 6 R1
C. Butterworth high pass filter
R1 R1
D. Wien-bridge oscillator C. R 2 = D. R 2 =
6 5
[2000 : 1 Mark]
[2002 :2 Mark]
2. The oscillator circuit shown in the figure is
4. The oscillator circuit shown in the figure
has an ideal inverting amplifier. Its
frequency of oscillation (in Hz) is

A. Hartely oscillator with foscillation = 79.6


MHz
B. Colpitts oscillator with foscillation = 50.3
1 1
A. B.
MHz
C. Hartely oscillator with foscillation = 159.2
(2 6 RC ) (2RC)
1 1
MHz C. D.
D. Colpitts oscillator with foscillation = 159.2 ( 6 RC ) 6 (2 RC)

MHz [2003 :2 Mark]


[2001 : 2 Marks] 5. The value of C required for sinusoidal
3. The circuit in the figure employs positive oscillations of frequency 1 kHz in the circuit
feedback and intended to generate of the figure is
sinusoidal oscillation.

110
www.gradeup.co

A. Introduce amplitude stabilization by


1 preventing the op amp from saturating and
A. F B. 2 F
2 thus producing sinusoidal oscillations of
1 fixed amplitude.
C. F D. 2 6 F
2 6 B. Introduce amplitude stabilization by
[2004 :2 Marks] forcing the op-amp to swing between
6. The circuit shown in the figure has an ideal positive and negative saturation and thus
op-amp. The oscillation frequency and the producing square wave oscillations of fixed
condition to sustain the oscillations, amplitude.
respectively, are: C. Introduce frequency stabilization by
forcing the circuit to oscillate at the single
frequency.
D. Enable the loop gain to take on a value
that produces square wave oscillations.
[2016 : 1 Mark, Set-1]
8. The components in the circuit given below

1 1 are ideal. If R = 2 kΩ and C = 1 μF, the -3


A. andR1 = R 2 B. andR1 = 4R 2
CR CR dB cut-off frequency of the circuit in Hz is
1 1
C. andR1 = R 2 D. andR1 = 4R 2
2CR 2CR
[2015 :2 Marks, Set-1]
7. Consider the oscillator circuit shown in the
figure. The function of the network (shown
in dotted lines) consisting of the 100 k 
resistor in series with the two diodes
connected back-to-back is to A. 14.92 B. 79.58
C. 34.46 D. 59.68
[2020 : 2 Mark]

111
www.gradeup.co

FUNCTION GENERATOR &


WAVE-SHAPING CIRCUIT A.

9. Consider the following two sagments:


Statement 1: A stable multivibrator can be
used for generating square wave.
B.
Statement 2: Bistable multivibrator can be
used for storing binary information.
A. Only statement 1 is correct
B. Only statement 2 is correct
C. Both the statement 1 and 2 are correct C.
D. Both the statement 1 and 2 are
incorrect
[2001 : 1 Mark]
10. An ideal sawtooth voltage waveform of
D.
frequency500 Hz and amplitude 3 V is
generated by charging a capacitor of 2 µF
in every cycle. The charging requires
A. Constant voltage source of 3 V for 1 ms [2005 :2 Marks]
B. Constant voltage source of 3 V for 2 ms. 12. Consider the Schmitt trigger circuit shown
C. Constant current source of mA for 1 ms. below:
D. Constant current source of 3 mA for 2
ms.
[2003 : 2 Marks]
11. Given the ideal operational amplifier circuit
shown in the figure, indicate the correct
transfer characteristics assuming ideal
A triangular wave which goes from —12 V
diodes with zero cut-in voltage.
to 12 V is applied to the inverting input of
the OP-Amp, Assume that the output of
the OP-Amp swings from +15 V to —15 V.
The voltage at the non- inverting input
switches between
A. –12 V and + 12V
B. –7.5 V and + 7.5V
C. –5 V and + 5V
D. 0 V and + 5V
[2008 :2 Marks]

112
www.gradeup.co

13. In the following astable multivibrator 555 TIMER


circuit, which properties of v0(t) depend on
15. An astable multi-vibrator circuit using IC
R2?
555 timer is shown below. Assume that the
circuit is oscillating steadily

A. Only the frequency


B. Only the amplitude
C. Both the amplitude and the frequency
D. Neither the amplitude nor the frequency
[2009 : 2 Marks] The voltage VC across the capacitor various
14. The components in the circuit shown below between
are ideal. A. 3 V to 5 V B. 3.6 V to 6 V
C. 3 V to 6 V D. 3.6 V to 5 V
[2008 :2 Marks]
16. In the astable multivibrator circuit shown
in the figure, the frequency of oscillate on
(in kHz) at the output pin 3 is …….. .

If the Op-amp is in positive feedback and


the input voltage Vi is a sine wave of
amplitude 1V, V0 = ?
A. a constant of either + 5V or – 5V
B. A square wave of 5V amplitude
C. A non-inverted sine wave of 2V
amplitude [2016 : 1 Mark, Set-3]
D. An inverted sine wave of 1V amplitude
[2020 :1 Marks]

113
www.gradeup.co

ANSWER

1.D 2. B 3.A 4.A 5.A 6. D 7. A 8. B 9. C 10. D 11. B

12. C 13. A 14. A 15.B 16.(5.565)

SOLUTION

1. R1 1
or  = =
R1 + R 2 6

or R2 = 5R1
4. The feedback network is shown below

(
X = (A  B)(B  C).C(AB+ AB) BC + BC .C )
= (AB + AB)(B+ C).(B+ C) C
X = (AB+ AB)(BC+ BC)C = ABC 1
Let X=
C
For X = 1, A = 0, B = 1, C = 1
Then, using KVL, we have following set of
2. This is Colpitts oscillator.
linear equations
1
foscillate = R − jX −R 0  I1   V0 
2 L.Ceq  −R  
 2R − jX −R  I2  =  0 
Where,  0 −R 2R − jX  I3   0 

C1C2 22 Putting


Ceq = = = 1pE
C1 + C2 4
1 − i −1 0  I1  V0 
  
L = 10 F 3
R  −1 2 − i −1  I2  =  0 
 0 −1 2 − i  I3   0 
1
foscillate =
2 10  10−6  10−12 3
Now, I3 =
= 50.3MHz 

3. Using KCL at inverting terminal, we get Where

V0 − 0 V0 − V0 1 − i −1 V0
+ =0 3 = R 3
−1 2 − i 0
R1 R2
0 −1 0
 R + R2  1
or   1 = and,
 R1R2  R 2

114
www.gradeup.co

1 − i −1 0
 = R3 −1 2 − i −1
0 −1 2 − i

then,
3 V0
I3 = =
 2
1 − 5 + j(3 − 6)

and,
For oscillation imaginary part = 0
𝑉0′ −𝐼3 𝑅
= 1
𝑉0 𝑉0
i.e., R2 − =0
−1
2
 C2
= 2
(1 − 5 ) + j(3 − 6) 1 1 1
 C= = = F
R 2  1  103  1 + 103 2
For 180° phase shift, 3 − 6 = 0
6. Given circuit is wein bridge oscillator,
or  = 6
frequency of wein bridge oscillator is
1
or = 6 1
RC 0 =
RC
1
or f =
2 RC 6

V0 − V1 V V
5. = 1 + 1
XC + R XC R
1 1
𝑉0 = (𝑋𝐶 + 𝑅) [ + ] 𝑉1 + 𝑉1
𝑋𝐶 𝑅
2
𝑉0 (𝑋𝐶 + 𝑅)
=( ) + 1 = 𝑓𝑒𝑒𝑑𝑏𝑎𝑐𝑘
𝑉1 𝑋𝐶 𝑅
Gain But the question, time-constant is doubled
j 1
XC = − so, frequency becomes half 0 = .
C 2R C
and R = 1 × 103 1
 Z1 = 2R + = 2(R − jR)
+1 2jR jC
+ R2 −
V0  2 2
C C + 1 R  1 / 2jC R2 / j
=  Z2 = =
V1 +R 1 R − jR
R+
jC 2jC
 +1 2jR  Z2 1
+ R2 −  = =
 2C2 C  − 1 Z1 + Z2 5
= + jC  
 R 
  R1
1+ = 5  R1 = 4R 2
 1  R2
+ jC  R 2 − 2 2  + 2R
 C 
= 7. The circuit shown (in fig.) is a Wein-bridge
R
oscillator. So the amplitude of oscillations
can be determined and stabilized by using
a nonlinear control network. As the

115
www.gradeup.co

oscillations grow, the diodes start to 1 1


T = = = 2 ms
conducting that causes the effective f 500

resistance in the feedback to decrease. and,

Therefore equilibrium will be reached at dV 3−0


I=C =C
dt T
the output amplitude that causes the loop −6
3  2  10
gain to be exactly unity. = = 3 mA
2  10−3
8. The circuit show is LPF Thus charging requires a constant current
Applying virtual ground, source of 3 mA for 2 ms.
11.

I = I1 + I 2

OPAMP output varies between +Vsat = 10 V


to –Vsat = –10 V
When V0> 0, D2 is forward-biased and D1 is
reverse-biased.
Then, V+ = (Upper threshold voltage)
2 2
= + Vsat = 10  = 12 volts
2 + 0.5 2.5
When V0> 0, D2 is reverse-biased and D1 is
forward-biased.
Then
9. In astable multivibrator, none of the states V = lower-threshold voltage
are stable. It is used to generate the
2
= − Vsat . = −5 volts
square wave flip-flops are bistable 2+2
multivibrator which are used to store 1 bit Hence, the right option is (b).
of information. 12. When output is + 15V, let voltage at non
10. Ideal sawtooth voltage waveform is shown inverting terminal is V0.
below  V0 − 15  V0 + 15
  10  2 +  10  = 0
 V0 = +5 volts

When output is -15 V

116
www.gradeup.co

V0 − 15  V + 15  −
T
+ 2 0 =0 VC (t) = VF + (V1 − VF )e BC
....(A)
10  10 
 V0 = −5 volts Where

13.
R = R2 + R1
V1 = (tuntial voltage) = − VCC
VF = VCC

At t = T (half-period)
VC (t) = VCC

Then, equation (A) given


T
VCC = VCC + ( −VCC − VCC ) e

RC

1 +  
or, T = RC ln  
1 −  
It can be seen that only time period
R4 frequency depends in R2.
Feedback factor,  =
R3 + R 4
14.
First assume output voltage at + V0 (  VCC )

then
VCCR 4
V+ = V− = = VCC
R3 + R 4
VN> 0 ⇒ Vo = +Vsat
Now capacitor starts changing
exponentially toward + VCC through (R2 + Where

R1) C
If Vo = +Vsat⇒ if Vi = 1V
When capacitor voltage reaches + VCC ,

output voltage jumps from + VCC to − VCC . peak

Then capacitor stars discharging towards If Vo = +Vsat⇒ if Vi = 1V


−VCC .
peak

if Vi = –1V peak

If Vo = —Vsat⇒ if Vi = + 1V

peak

if Vi = –1V peak

So the output is either + Vsat or –Vsatas VN


Now, is not crossing ‘0’.

117
www.gradeup.co

15. Capacitor voltage and output waveform are Therefore, VC(t) varies between 3 volts to
shown below: 6 volts.
16. Charging time,
C = 0.693 (R A + RB ) .C
= 0.693  (22 + 4.7)  103  0.022  10−6
C = 0.1052 ms

Discharging time,
d = 0.693RBC
= 0.693  4.7  103  0.022  10−6
d = 0.07165ms

Total period of output waveform,


T =  d + C
T = 0.17865 ms

1
Hence VCC = 9 volts Frequency of oscillation, f = = 5.65kHz.
T

❖❖❖❖

118
www.gradeup.co

❖❖❖❖

119
www.gradeup.co

1
1
Chapter
www.gradeup.co

Analysis of Previous GATE Papers

Topic Year / Set Marks Distribution

2020
2019
2018
2015 Set 1
2015 Set 2
2015 Set 3

2017 Set 1
2017 Set 2
2016 Set 1
2016 Set 2
2016 Set 3
MCQ Type
1 Mark
Numerical Type
Different Number
Systems and Their MCQ Type
Range 2 Marks

2
Numerical Type

Total

MCQ Type
1 Mark
Numerical Type

Representation of
1

MCQ Type
Negative Numbers
Number System

2 Marks
Numerical Type
2

Total
www.gradeup.co

DIFFERENT NUMBER SYSTEMS & DREPRESENTATION OF NEGATIVE


THEIR RANGE NUMBERS

1. The range of signed decimal numbers that 5. The 2’s complement representation of–17 is
can be represented by 6-bit 1’s
A. 101110 B. 101111
complement number is
C. 111110 D. 110001
A. –31 to + 31 B. –63 to 63
[2001: 1 Marks]
C. –64 to + 63 D. –32 to + 31
6. 4–bit’s complement representation of a
[2004: 1 Marks]
decimal number is 1000. The number is
2. Decimal 43 in Hexadecimal and BCD
A. +8 B. 0
number system is respectively
C. –7 D. –8
A. B2, 0100 0011 B. 2B, 0100 0011
[2002: 1 Marks]
C. 2B, 0011 0100 D. B2, 0100 0100
7. 11001, 1001 and 111001 correspond to
[2005: 1 Marks]
the 2’s complement representation of
3. A new Binary Coded Pentary (BCP) number which one of the following?
system is proposed in which every digit of
A. 25,9 and 57 respectively
a base-5 number is represented by its
corresponding 3–bit binary code. For B. –6, –6 and –6 respectively
example, the base–5 number 24 will be
C. –7, –7 and –7 respectively
represented by its BOP code 010100, in
this numbering system, the BCP code D. –25, –9 and –57 respectively
100010011001 corresponds to the
[2004: 2 Marks]
following number in base–5 system
8. X = 01110 and Y = 11001 are two 5-bit
A. 423 B. 1324
binary numbers represented in 2’s

C. 2201 D. 4231 complement in 2’s complement format.


The sum of X and Y represented in 2’s
[2006: 2 Marks]
complement format using 6 bits is
4. The number of bytes required to represent
A. 100111 B. 001000
the decimal number 1856357 in packed
BCD (Binary Coded Decimal) form is ____. C. 000111 D. 101001

[2014: 1 Marks, Set-2] [2007: 1 Marks]

3
www.gradeup.co

9. The two numbers represented in signed 2’s 10. P,Q and R are the decimal integers
complement form are corresponding to the 4-bit binary number
1100 considered in signed magnitude, 1’s
P = 11101101 and Q = 11100110. If Q is complement, and 2’s complement
subtracted from P, the value obtained in representations, respectively. The 6-bit 2’s
signed 2’s complement form is complement representation of (P + Q + R)
is
A. 100000111 B. 00000111
A. 11101 B. 110101
C. 11111001 D. 111111001 C. 110010 D. 111001
[2008: 2 Marks] [2020: 2 Marks]

ANSWER

1. A 2. B 3. D 4. 4 5. B 6. D 7. C 8. C 9. B 10. B

SOLUTION

1. The first complement range of numbers is Thus,


–2n–1 + 1 to 2n–1 –1.

When n = 6, so – 31 to + 31 corresponds to 4231


4. To represent decimal number into BCD
2. 43 becomes 0100 0011 in BCD
number each decimal is represented in 4-
When converted to binary, bit while converting in BCD number are
(1856357)10
1Byte 1Byte 1Byte
= 0111.
0001 1000 0101 0110 0011 0101
 Hexadecimal code is 2B = 0000 0001 1000 0101 0110 0011 0101 0111.

3. According to Binary Coded Pentary (BCP)  Hence, total 4 bytes are required.
number system, 24 is represented by 5. (17)10 = (10001)2
2’s complement of (17)10 = 1’s
complement of (10001) + 1
=01110 + 1 = 01111b
2’s complement of (–17)10 = 101111b

4
www.gradeup.co

6. It will remain same in 2’s complement


because it is positive value
1 0 0 0 1+8
0 1 1 1 So answer is 000111
1’s complement
+ 1
1 0 0 0 9. Signed 2’s complement of

P = 11101101 is:
2’s complement = –8

7. 11001  −00111  −7  Number P = (1’s complement of


11101101) + 1
1001  −0111  −7
= 00010011
111001  −000111  −7
 Signed 2’s complement of
8. Given X = 01110 Y = 11001
Q = 1110010.
In 2’s complement format MSB shows the
sign of number (0 for positive and 1 for  P – Q = P + (2’s complement of Q)
negative) and negative number is
= 00000110 +1 = 00000111.
represented in 2’s complement

X = 01110 Y= 11001 10.

= + 14 00110

1
00111

Y = –7

 X + Y = + 14 –7 = + 7

S = + 7 in 6 bits representation
P + Q + R = –11
000111



5
Analysis of Previous GATE Papers

2
Chapter
www.gradeup.co

Topic Year / Set Marks Distribution

2020
2019
2018
2015 Set 1
2015 Set 2
2015 Set 3

2017 Set 1
2017 Set 2
2016 Set 1
2016 Set 2
2016 Set 3
MCQ Type
1 Mark
Boolean Algebra Numerical Type
Identities and
Minimization of MCQ Type
Boolean 2 Marks
Functions Numerical Type

Total

6
B

1
1
1

MCQ Type
1 Mark
Numerical Type
1
1

Logic Gates MCQ Type


2 Marks
1
1

Numerical Type
2
1
1
4
3

Total
1

MCQ Type
and Logic Gates
Boolean Algebra

1 Mark
Numerical Type
1
1
1
1

K-Map MCQ Type


2 Marks
Numerical Type
1
2
2
2
2

Total
www.gradeup.co

BOOLEAN ALGEBRA IDNETITIES & 4. In the circuit shown below, Q1 has


MINIMIZATION OF BOOLEAN FUNCTIONS negligible collector-to-emitter saturation
voltage across it under forward bias. If V cc,
1. The number of distinct Boolean
expressions of 4 variables is is +5 V, X and Y are digital signals with DV
as logic d and Vcc as logic 1, the Booean
A. 16 B. 256
expression to Z is
C. 1024 D. 65536

[2003: 1 Marks]

2. The Boolean expression for the truth table


shown is

A B C F
0 0 0 0
0 0 1 0
0 1 0 0
0 1 1 1
A. XY B. XY
1 0 0 0
1 0 1 0
C. YX D. XY
1 1 0 1
1 1 1 0
[2011: 1 Marks]

A. B(A + C)(A + C) 5. The Boolean expression

B. B(A + C)(A + C) (X + Y)(X + Y) + (XY) + X simplifies to

C. B(A + C)(A + C) A. X B. Y

D. B(A + C)(A + C) C. XY D. X + Y

[2005: 2 Marks] [2013: 2 Marks]

3. If X = 1 in the logic equation 6. The Boolean function A + BC is a reduced

[X + Z{Y + (Z + XY)]{X + Z(X + Y)} = 1, then form of

A. Y = Z A. AB + BC

B. Y = Z B. (A + B). (A + C)

C. Z = 1 C. AB + ABC
D. Z = 0
D. (A + C).B
[2009: 2 Marks]
[2014 : 1 Marks,Set-1]

7
www.gradeup.co

LOGC GATES 10. In the figure, the LED

7. For the logic circuit shown in the figure,


the required input condition (A,B,C) to
make the output (X) = 1 is

A. Emits light when both S1 and S2; are


A. 1, 0, 1 B. 0, 0, 1 closed.

C. 1, 1, 1 D. 0, 1, 1 B. Emits light when both S1 and S2; are


open.
[2000: 1 Marks]
C. Emits light when only S1 and S2; is
8. For the logic circuit shown in the figure,
closed.
the simplified Boolean expression for the
output D. Does not emits light, irrespective of the
switch positions. [2001: 2 Marks]

11. If the input to the digital circuit (in the


figure)consisting of a cascade of 20 XOR-
gates is X, then the output Y is equal to

A. A + B + C B. A

C. B D. C
A. 0 B. 1
[2000: 2 Marks]

9. For the ring oscillator shown in the figure, C. X D. X

the propagation delay of each inverter is [2002: 1 Marks]


100 pico sec. What is the fundamental 12. The gates G1 and G2 in the figure have
frequency of the oscillator output? propagation delays of 10 n sec and 20 n
sec respectively. If the input V1, makes an
abrupt change from logic 0 to 1 at time t =
to, then the output wave form Vo is

A. 10 MHz B. 100 MHz

C. 1 GHz D. 2 GHz

[2001: 1 Marks]

8
www.gradeup.co

15. The point P in the following figure is stuck-


A. at-1. The output f will be

B.

A. ABC B. A
C.
C. ABC D. A

[2006: 2 Marks]

16. Which of the following Boolean expressions


D.
correctly represents the relation between
P,Q,R and M1?

[2002: 2 Marks]

13. The figure shows the internal schematic of


a TTLAND-OR-Invert (AOI) gate. For the
inputs shown in the figure, the output Y is

A. M1 = (P OR Q)XOR R.

B. M1 = (P AND Q)XOR R.

C. M1 = (P NOR Q)XOR R.
A. 0 B. 1
D. M1 = (PXOR Q)XOR R.
C. AB D. AB
[2008: 2 Marks]
[2004: 1 Marks]
17. Match the logic gates in Column A with
14. A Boolean function f of two variables x and
y is defined as follows: their equivalents in Column B

f(0, 0) = f (0,1) = f(1, 1) = 1;f(1, 0) = 0

Assuming complements of x and y are not


available, a minimum cost solution or
realizing f using only 2-input NOR gates
and 2-input OR gates (each having unit
cost) would have a total cost of

A. 1 unit B. 4 unit

C. 3 unit D. 2 unit

[2004: 2 Marks]

9
www.gradeup.co

A. P-2, Q-4, R-1, S-3 20. A bulb in a staircase has two switches, one
switch being at the ground floor and the
B. P-4, Q-2, R-1, S-3
other one at the first floor. The bulb can be
C. P-2, 2-4, R-3, S-1 turned ON and also can be turned OFF by
any one of the switches irrespective of the
D. P-4, Q-2, R-3, S-1
state of the other switch. The logic of
[2010: 1 Marks] switching of the bulb resembles

18. For the output F to be 1 is the logic circuit A. an AND gate B. an OR gate
shown, the input combination should be
C. an XOR gate D. a NAND gate

[2012: 1 Marks]

21. The output F in the digital logic circuit


shown in the figure is

A. A = 1, B = 1, C = 0

B. A = 1, B = 0, C = 0

C. A = 0, B = 1, C = 0

D. A = 0, B = 0, C = 1

[2010: 1 Marks] A. F = XYZ + XYZ B. F = XYZ + XYZ

19. The output Y in the circuit below is always C. F = XYZ + XYZ D. F = XYZ + XYZ
“1” when
[2013: 1 Marks]

22. In the circuit shown in the figure, if C = 0,


the expression for Y is

A. Two or more of the inputs P, Q, R are


“0”

B. Two or more of the inputs P, Q, R are


“1”
A. Y = AB + AB B. Y = A + B
C. Any odd number of the inputs P, Q, R is
“0” C. Y = A + B D. Y = AB
D. Any odd number of the inputs P, Q, R is
[2013: 1 Marks]
“1” [2011: 1 Marks]

10
www.gradeup.co

23. A 3-input majority gate is defined by the


logic function M (a, b, c,)= ab + bc + ca.
Which one of the following gate is
represented by the function M(M ( a, bc )
,M(a, b, c),c)?

A. 3-iniput NAND gate

B. 3-input XOR gate

C. 3-input NOR gate

D. 3-input XNOR gate

[2014: 2 Marks, Set-1] A. 3 input OR gate

24. All the logic gates shown in the figure have B. 3 input NOR gate
a propagation delay of 20 ns. Let A = C =
0 and B = 1 unit time t = 0. Att = 0, all C. 3 input AND gate
the inputs flip (i.e. A = C= 1 and B = 0) D.3 input XOR gate
and remain in that state. For t> 0, output
Z = 1 for a duration (in ns) of _____. [2015: 2 Marks,Set-1]

27. A universal logic gate can implement any


Boolean function by connecting sufficient
number of them appropriately. Three gates
are shown.
[2014: 1 Marks, Set-4]

25. In the figure shown, the output Y is


required to be Y = AB + CD . The gates G1
and G2 must be

Which one of the following statements is


TRUE?

A. Gate 1 is a universal gate


A. NOR, OR B. OR, NAND
B. Gate 2 is a universal gate
C. NAND, OR D. AND, NAND
C. Gate 3 is a universal gate
[2015: 2 Marks,Set-1]

26. In the circuit shown, diodes D1, D2 and D3 D. None of the gates shown is a universal
are ideal. And the inputs E1, E2, and E3 are gate.
‘0V’ for logic ‘0’ and ’10 V’ for logic ‘1’.
[2015: 1 Marks,Set-3]
What logic gate does the circuit represent?

11
www.gradeup.co

28. The output of the combinational circuit 31. The output of the circuit shown in figure is
given below is equal to

A. A + B + C B. A(B+C)

C. B(C+A) D. C(A+B) A. 0 B. 1

[2015: 2 Marks, Set-3] C. AB + AB D. (A  B)  (A  B)

29. The minimum number of 2-input NAND


[2018: 2 Marks]
gates required to implement a 2-inpute
XOR gate is K-MAP

A. 4 B. 5 32. If the function W,X,Y and Z are as follows


W = R + PQ + RS.
C. 6 D. 7
X = PQRS + PQRS + PQRS
[2016: 1 Marks, Set-1]

30. The logic gates shown in the digital circuit Y = RS + PR + PQ + PQ


below use strong pull-down n MOS
transistors for LOW logic level at the Z = R + S + PQ + PQR + PQS
outputs. When the pull-downs are off,
Then
high-value resistors set the output logic
levels to HIGH (i.e. the pull-ups are weak). A. W = Z, X = Z B. W = Z, X = Y
Note that some nodes are intentionally
shorted to will be HIGH only if the output C.W = Y D. W = Y = Z
of all the gates whose outputs are shorted
[2003: 2 Marks]
are HIGH.
33. The Boolean expression AC + BC is
equivalent to

A. AC + BC + AC

B. BC + AC + BC + ACB

C. AC + BC + BC + ABC

D. ABC + ABC + ABC + ABC


The number of distinct values of X 3X2X1X0
(out of the 16 possible values) that give Y [2004: 2 Marks]
= 1 is ___. [2016: 1 Marks,Set-3]

12
www.gradeup.co

34. The Boolean expression A. (X + Y + Z)(X + Y + Z)(X + Y + Z)(X + Y + Z)

Y = ABCD + ABCD + ABCD + ABCD can be B. (X + Y + Z)(X + Y + Z)(X + Y + Z)(X + Y + Z)


minimized to
C. (X + Y + Z)(X + Y + Z)(X + Y + Z)(X + Y + Z)
A. Y = ABCD + ABC + ACD + ACD
D. (X + Y + Z)(X + Y + Z)(X + Y + Z)(X + Y + Z)
B. Y = ABCD + BCD + ABCD + ACD
[2014: 1 Mark,Set-2]
C. Y = ABCD + BCD + ABCD + ABCD
39. A function of Boolean variables, X, Y and Z
D. Y = ABCD + BCD + ABCD + ABCD
is expressed in terms of the min-terms as
[2007: 2 Marks] F(X, Y, Z, ) =  (1, 2,5, 6, 7).
35. In the sum of products function f(X,Y,Z)= Which one of the product of sums given
 (2, 3, 4,5, ), the prime implicates are below is Equal to the function F(X,Y,Z,)?

A. XY, XY A. (X + Y + Z).(X + Y + Z).(X + Y + Z)

B. XY, XYZ, XYZ B. (X + Y + Z).(X + Y + Z).(X + Y + Z)

C. XYZ, XYZ, XY C. (X + Y + Z).(X + Y + Z).(X + Y + Z)

D. XYZ, XYZ, XYZ, XYZ (X + Y + Z).(X + Y + Z)

[2008: 2 Marks] D. (X + Y + Z).(X + Y + Z).(X + Y + Z)


36. Consider the Boolean function
(X + Y + Z).(X + Y + Z)
F(w, x, y, z) = wy + xy + wxyz + wxy + xz + xyz.
[2015: 2 Marks,Set-1]
Which one of the following is the complete
set of essential prime implicates? 40. Following is the K-map of a Boolean
function of five variables P,Q,R,S and X.
A. w, y, xz, xz B. w, y, xz The minimum sum of-product (SOP)
expression for the function is
C. y, xyz D. y, xz, xz

[2012: 1 Marks]

37. For an n-variable Boolean function, the


maximum number of prime implicates is

A. 2(n–1) B. n/2

C. 2n D. 2(n–1)

[2014: 2 Marks, Set-1]

38. The Boolean expression A. PQSX + PQSX + QRSX + QRSX

F(X, Y, Z, ) − XYZ + XYZ + XYZ + XYZ B. QSX + QSX


converted into canonical product of sum C. QSX + QSX
(POS) form is

13
www.gradeup.co

D. QS + QS [2015: 2 Marks,Set-2] A. F = (A + B + C).(A + B + C).(A + B + C)


41. Which one of the following gives the
B. F = (A + B + C).(A + B + C).(A + B + C)
simplified sum of products expression for
the Boolean function F = m0 + m2 + m3 + C. F = (A + B + C).(A + B + C).(A + B + C).
m5, where m0, m2, m3, and m5 are
minterms corresponding to the input A, B (A + B + C).(A + B + C)
and C with A as the MSB and C as the
D. F = (A + B + C).(A + B + C).(A + B + C).
LSB?
(A + B + C).(A + B + C)
A. AB + ABC + ABC
[2017: 2 Marks,Set-1]
B. AC + AB + ABC
43. The number of product terms in the
C. AC + AB + ABC minimized sumof-products expression
obtained through the following K-map is
D. ABC + AC + ABC (where, “d” denotes don’t care states)

[2016: 2 Marks,Set-3] 1 0 0 1
0 d 0 0
42. A function F(A B, C) defined by three
0 0 d 1
Boolean variables A, B and C when
1 0 0 1
expressed as sum of products is given by
A. 2 B. 3
F = A.B.C + A.B.C + A.B.C
C. 4 D. 5
Where, A,B, and C are the complements of
[2018: 1 Marks]
the respective variables, The product of
sums (POS) form of the function F is

ANSWER
`

1. D 2. A 3. D 4. B 5. A 6. B 7. D 8. C 9. C 10. D
11. D 12. B 13. A 14. D 15. D 16. D 17. D 18. D 19. B 20. C
21. A 22. A 23. B 24. (–2) 25. A 26. C 27. C 28. C 29. A 30. 8
31. A 32. A 33. D 34. D 35. A 36. D 37. D 38. A 39. B 40. B
41. B 42. C 43. A

14
www.gradeup.co

SOLUTION

1. Let ABCD be four variable. = x + xy + xy + (x + y)x

 Total number of variables = x(1 + y + y)

= A, A,B, B C, C,D, D i.e. 8 =x

Number of total elements in the 4 variable 6. Distributive property:


K Map =24 = 16
(A + B)(A + C) = A . A + A . C + A .B + BC
 Number of distinct Boolean expressions
= A + A . C + A .B + B . C
= 216 = 65536

2. f= ABC + ABC = B(AC + AC) = B(A + C)(A + C) = A(1 + C + B) + BC

= A + BC.
 XOR = AC + CA = (A + C)(A + C) 
7. For output X = 1, input of NAND gate
3.     
X + Z Y + (Z + XY)  X + Z(X + Y) = 1
(F1,F2 and C) must be 1. Now,

 XZ(X + Y) + XZ  Y + (Z + XY)  = 1
 

 XZ + XY + XZY  = 1

For X = 1 Z+Y =1 Z=0


C =1
[since 1.1 = 1, and 0 + 1 = 1]

4.  F2 = B C = BC + BC = 1.

B .1 + B . 0 = 1

B=1

 F1 = AB + AB = 1

A . 1 + A .1 = 1

A .1
z = x.y
A=0
5. f = (x + y)(x + y) + (xy) + x
(A,B,C)=(0,1,1).
= x + xy + xy + (xy)x

15
www.gradeup.co

8.

y =B+B+C

= B(B + C) = B + BC = B 13. In TTLAND-OR invertor gate propagation


delay of transistor depend on RC when i/p
9. Propagation delay of all inverter t pd
is floating thenR → 0 , hence o/p is zero.
= 5 × 100 ps = 500 ps
14.
Fundamental frequency of oscillator

output V0

1 1
= = 1GHz.
tpd
2 2  500  10−9
10. Output at AND gate = S1S2
Hence 2 units are required.
Output at XOR gate = S1S2 + S1S2

Output at NAND gate = (S1S2 )(S1S2 + S1S2 )

= S1S2S2 + S1S1S2 = 1

As output is 1 irrespective of switch


positions of S1 and S2 LED is reversed-
biased and will not emit light.

11. Output of first XOR gate

= 1  X = X .1 + 0 = X 15. Redrawing the logic circuit with P = 1.

Output of second XOR gate

= X  X = (X). X + X . X = X + X = 1.

Similarly, output of 20 XOR gates will be 1.

12.

16.  M1 = PQ(P + Q)  R

= (P + Q)(P + Q)  R

M1 = P  Q  R

16
www.gradeup.co

20. Let us consider the switches A and B and


bulb Y. Switches can be 2 positions up (0)
or down (1) Starting with both A and B in
up position. Let the bulb be OFF. Now since
B can operate independently when B goes
17. down, the bulb goes ON

A B Y
up(0) up(0) OFF
up(0) down(1) ON

Now keeping A in down position when B


goes down, the bulb will go OFF.

A B Y
down(1) up(0) ON
down(1) down(1) OFF
= (xy).(yx) = (x + y).(y + x)
Find truth table corresponds to XOR gate.
= xy + xy + xx + yy
21.

18. Output F = (A  B) (A B) C

= (A  B)(A B) + (A B)(A  B) C

= (A  B)(A B) C


Assuming A = X  Y = XY + XY
=0 C
B=A Z = A Z + AZ
=C
B = (XY + XY)Z + (XY + XY)Z
It can be inferred that output F is only
completed of c function. B = XYZ + XYZ + (XY.XY)Z
There for, for C = 0, F =1
B = XYZ + XYZ + (X + Y).(X + Y)Z
19. In the circuit
B = XYZ + XYZ + (XY + XY)Z
output Y = PQ + PR + RQ

Hence, two or more inputs are ‘1’ Y is B = XYZ + XYZ + XYZ + XYZ
always ‘1’.
 F = A.B = (XYZ + XYZ + XYZ + XYZ).Z

17
www.gradeup.co

F = XYZ.Z + XYZ.Z + XYZ.Z + XYZ.Z  On solving, c + ab + bc + ca = a + b + c

F = XYZ + XYZ + 0 + 0 = (ab + ac + b + bc)(c + a)(a + b + c) + abc

F = XYZ + XYZ. = (ab + ac + bc + b)(ca + bc + 0 + 0 + ab + ac) +


abc
22. Redrawing the logic circuit with outputs
= (ab + ac + bc + b)(ac + bc + ab + ab + ac) +
abc

= 0 + 0 + 0 + abc + 0 + abc + abc + 0 + abc + 0


+0 + 0 + abc + 0 + a + abc

= abc + bca + cab + abc

= a  b  c.

Y = (AB + A B + C).C
 3 input XOR gate.

Using De-Morgan’s theorem, 25. Given expression is Y = AB + CD

Y = AB . A B . C + C The first term can be obtained by


considering G1 as NOR gate, and second
Y = (A + B).(A + B).C + C term (CD) is obtained from another lower

Given: C = 0, C = 1 NOR-Gate. So final expression can be


implemented by cindering G2 as OR-gate
Y = AB + AB.
26. Case (i) : If any input is logic 0 (i.e., 0V)
23. Given: M (a, b, c,)= ab + bc + ca. then corresponding diode is ‘ON’ and due
. .ca to ideal diode output voltage V0 = 0 as well
 M(a,b, c) = ab + bc + ca = abbc
as if there is any input logic 1 (i.e.,10
= (a + b).(b + c).(c + a) V) corresponding diode will be OFF.

 M(a,b, c, ) = ab + bc + ca Case (ii) If all the inputs are high (i.e.,


10V) then all the diodes are R.B (OFF) and
 M(M(a,b, c,),M(a,b, c), c) output voltage V0= 10V

(ab.bc.ca).(ab + bc + ca)  27. Universal gate is a gate by which every


= 
 +(ab + bc + ca).c + (ab.bc.ca) other gate can be realized.

Gate 1 and Gate 2 are basic gates and can


= (a + b)(b + c)(c + a)(ab + bc + ca) + abc + 0 + 0
not be used as universal gate. All the
+(a + b)(b + c)(c + a)c
Boolean function can be implemented by
= (a + b)(b + c)(c + a)(c + ab + bc + ca) + abc using the gate 3. Hence it is a universal
gate.
= (a + b)(b + c)(c + a)(a + b + c) + abc

18
www.gradeup.co

28. From the given combinational circuit A = (X1  X1)X3


output (Y)
= ABC  AB  BC B = (X1  X2 )X3 X0  .X0 = 0
 

= [ABC . AB + ABC . AB] Y = B + X3 = 0 + X3 = X3

= ABC  BC(Since C  1 = C.1 + 1.C) Out of 16 possible combinations of X 3 X2 X1


= C.0 + C X0
=0+C =C
Output will be high for 8 combinations.
= B(AC  C)
 Y will be high for 8 combinations.
= B  AC . C + C AC  31.
 

= B  AC + C(A + C)
 

(
= B  AC + AC + C Since C = C )
= B  AC + C(A + 1)

(
= B C + AC Since A + 1 = 1 )
= B[C + A]

 Y = B(C + A)  Y = (A B) (A B)

29. Using 2-input NAND gate implementation Y=A B A B


of 2-input XOR
Y = (A A) (B B)

Y =0 0

Y=1

32. W = R + PQ + RS
No. of NAND gates required = 4

30.

X = PQRS + PQRS + PQRS

19
www.gradeup.co

Y = RS + PR + PQ + PQ From Fig (i) and Fig (iv), we see that W=Z

From Fig (ii) and Fig (iv), we see that X=Z


= RS + PR .PQ.PQ
33. AC+BC’
= RS + (P + R)(P + Q)(P + Q)

= RS + (P + RQ)(P + Q)

= RS + PQ + RPQ + QR

ABC + ABC '+ ABC '+ AB ' C

AC + BC’ + B’C + ABC

Z = R + S + PQ + P.QR + PQS

= R + S + PQ . PQR . PQS

B’C + AC + BC’ + A’CB’


= R + S + (P + Q)(P + Q + R)(P + Q + S)

= R + S + (P + Q(Q + S))(P + Q + R)

= R + S + (P + QS)(P + Q + R)

= R + S + PQ + PR + QPS + QRS

20
www.gradeup.co

A’C + BC’ + AC Drawing the K-Map for above expression,

On comparing all, we find that Dis correct.

34.  F(w, x, y, z) = y, xz, x z

Hence, the prime implicants are y, xz, x z

37. For n-variable Boolean function, the


maximum number of prime implicants

= 2n–1

38. Boolean expression in SOP form

F(X, Y, Z) = XYZ + XYZ + XYZ + XYZ

=  M(2, 4, 6, 7)
= m(0, 1, 3, 5)
Simplified expression for K-map:
= (X + Y + Z).(X + Y + Z)
Y = ABCD + ABCD + BCD
.(X + Y + Z).(X + Y + Z)
35. f(x, y, z) =  (2, 3, 4, 5).
39. Given minterm is:

F(X + Y + Z) =  (1, 2, 5, 6, 7)
So maxterm is : F(X + Y + Z) = M(0, 3, 4)

POS = (X + Y + Z)(X + Y + Z)(X + Y + Z)

40.

Simplified expression of

f(x, y, z) = xy + xy

36. Given:

F(w, x, y, z) = wy + xy + wxyz +
wxy + xz + xyz.
 Minimized SOP expression for function is
QSX + QSX.

21
www.gradeup.co

41. Given F = m0 + m2 + m3 + m5 F(A, B, C) = (A + B + C)(A + B + C).(A + B + C)

=  m(0, 2, 3, 5). (A + B + C)(A + B + C)


Drawing K-Map for above expression,
43. Number of SOP terms = 2.

F = AC + AB + ABC

42. F(A, B, C) = ABC + ABC + ACB

F(A, B, C) =  M(000, 010, 100) =  (0, 2, 4)


= M(1, 3, 5, 6, 7)

= M(001, 011, 101, 110, 111)



22
Analysis of Previous GATE Papers

Topic Year / Set Marks Distribution

2019
2018

2020
3

2015 Set 1
2015 Set 2
2015 Set 3

2017 Set 1
2017 Set 2
2016 Set 1
2016 Set 2
2016 Set 3
Chapter
www.gradeup.co

MCQ Type
1 Mark
Numerical Type

1
Arithmetic Circuits MCQ Type
2 Marks
Numerical Type

2
Total

1
1
MCQ Type 1
1 Mark
Numerical Type

1
Multiplexers MCQ Type

23
2 Marks
1

Numerical Type

1
2
1
1
2

Total

MCQ Type
1 Mark
Numerical Type
Decoders and Code
2

MCQ Type
Converter
2 Marks
Numerical Type
4

Total

MCQ Type
Combinational Circuits

1 Mark
Numerical Type
Programmable Logic
1

MCQ Type
Array
2 Marks
Numerical Type
2

Total
www.gradeup.co

ARITHMETIC CIRCUITS A. M = X  Y, N = XY

1. The circuit shown in the figure has 4 boxes B. M = XY, N = X  Y


each described by inputs P, Q, Rand
outputs Y, Z with
C. M = XY ,N = X  Y

Y = P  Q  R , Z = RQ + PR + QP
D. M = XY , N = X  Y

[2014: 1 Marks, Set-2]

4. A 16-bit ripple carry adder is realized using


16 identical full adders (FA) as shown in
the figure. The carry-propagation delay of
each FA is 12 ns and the sum-propagation
delay of each FA is 15ns. The worst case
delay (in ns) of this 16-bit adder will be
The circuit acts as a _____ .

A.4 bit adder given P +Q

B. 4 bit subtractor given P – Q

C. 4 bit subtractor given Q – P

D. 4bit adder given P + Q + R

[2003: 2 Marks]
[2014: 2 Marks, Set-4]
2. The output Y of a 2-bit comparator is logic
1 whenever the 2-bit input A is greater 5. Figure I shows a 4-bit ripple carry adder
than the 2-bit input B. The number of realized using full adders and Figure II
combinations for which the output is logic
shows the circuit of a full-adder (FA). The
1, is
propagation delay of the XOR. AND and OR
A. 4 gates in Figure II are 20 ns, 15 ns and
B. 6 10ns, respectively. Assume all the inputs
to the 4-bit adder are initially reset to 0.
C. 8

D. 10

[2012: 1 Marks]

3. In a half-subtractor circuit with X and Y as


inputs, the Borrow (M) and Difference

(N = X – Y)

24
www.gradeup.co

C. All functions of 3 variables and some but


not all of 4 variables.

D. All functions of 4 variables.

[2003: 1 Marks]

8. The minimum number of 2-to-1


multiplexers required to realize a 4-to-1
multiplexer is

A. 1 B. 2
At t= 0, the inputs to the 4-bit adder are
changed to X3 X2 X1 X0 = 1100, Y3 Y2 Y1 Y0 C. 3 D. 4
= 0100 and Z0 = 1. The output of the
[2004: 2 Marks]
ripple carry adder will be stable at t (in ns)
= _____ . 9. The Boolean function f implemented in the
figure using two input multiplexers is
[2017: 2 Marks, Set-2]

MULTIPLEXERS

6. In the TTL circuit in the figure, S2 and S0


are select lines and X7 and X0 are input. S0 A. A B C + AB C B. ABC + A BC
and X0 are LSBs, The output Y is
C. A BC + ABC D. AB C + A B C

[2005: 1 Marks]

10. In the following circuit, X is given by

A. Indeterminate B. A  B

C. A  B D. C(A  B) + C(A B)

[2001: 2 Marks]
A. X = A BC + A B C + AB C + ABC
7. Without any additional circuitry, an 8:1
MUX can be used to obtain B. X = A BC + A B C + AB C + ABC

A. Some but not all Boolean functions of 3 C. X = AB + BC + AC


variables.
D. X = AB + BC + AC
B. All functions of 3 variables but none of 4
variables. [2007: 2 Marks]

25
www.gradeup.co

11. For the circuit shown in the following A. F =  m (0,1,3,5,9,10,14)


figure, I0 – I3 are inputs to the 4:1
multiplexer. R (MSB) and S are control B. F =  m (2,3,5,7,8,12,13)
bits,
C. F =  m (1,2,4,5,11,14,15)
D. F =  m (2,3,5,7,8,9,12)
[2010: 2 Marks]

14. The logic function implemented by the


circuit below is (ground implies a logic ('0')

The output Z can be represented by

A. PQ + P Q S + QRS

B. P Q + PQR + P P QS
A. F = AND (P,Q) B. F = OR (P,Q)
C. P QR + P QR + PQRS + QRS
C. F = XNOR (P,Q) D. F = XOR(P,Q)

D. PQ R + PQR S + P QR S + QRS [2011: 1 Marks]

[2008: 2 Marks] 15. Consider the multiplexer-based logic circuit


shown in the figure.
12. What are the minimum number of 2-to-1
multiplexers required to generate a 2-input
AND gate and a 2-input EX-OR gate?

A. 1 and 2 B. 1 and 3

C. 1 and 1 D. 2 and 2

[2009: 2 Marks]
Which one of the following Boolean
13. The Boolean function realized by the logic function is realized by the circuit?
circuit shown in
A. F = W S1S2

B. F = WS1 + WS2 + S1 S2

C. F = W + S1 + S2

D. F = W  S1  S2

[2011: 1 Marks]

26
www.gradeup.co

16. In the circuit shown, W and Y are MSBs of


the control inputs. the output MSBs is
given by

A. F = W X + W X+ YZ

B. F = W X + W X + YZ

C. F = W XY + w X Y

D. F = (W+ X) YZ

[2014: 1Marks,Set-3]

17. If X and Y are inputs and the Difference


(D=X–Y and the Borrow (B) are the
outputs, a half-subtractor?

[2014: 2Marks,Set-3]

18. An 8-to-1 multiplexer is used to implement


a logical function Y as shown in the figure.
The output

A. Y = A B C + A C D B. Y = A BC + A B D

C. Y = AB C + A CD D. Y = AB D + A B C

[2014: 2 Marks, Set-3]

27
www.gradeup.co

19. A 1-to-8 demultiplexer with data input Dn", Which one of the following statements
address inputs So, S1, S2, (with So as the correctly describes the choice of signals to
LSB) and Yo to Y1 as the eight demultiplexed be connected to the inputs I0, I1, I2 and I3
so that the output is Cout?
outputs, is to be designed using two 2-to-4
decoders (with enable input E and address A. I0 = 0,I1= Cin, I2 =Cinand I3 = 1
inputs Ao and A1) as shown in the figure Dn,
B. I0 = 1, I1 = Cin, I2 = Cin, and I3 = 1
So, S1 and S2, are to be connected to P, Q,
R and S, but not necessarily in this order. C. I0 = Cin, I1 = 0, I2 = Cin and I3 = 1
The respective input connections to P, Q, R
D. I0 = 0, I1 = Cin, I2 = 1 and I3 = Cin
and S terminals should be
[2016: 1 Marks, Set-2]

21. For the circuit shown in the figure, the


delays of NOR gates, multiplexers and
inverters are 2 ns, 1.5 ns and 1 ns,
respectively. If all the inputs P, Q, R and T
are applied at the same time instant, the
maximum propagation delay (in ns) of the
circuit is ____.

A. S2, Dn, S0, S1 B. S1, Dn, S0, S2

C. Sn, D0, S1, S2, D. Sn, D2, S0, S1

[2014: 2 Marks, Set-4]

20. A 4:1 multiplexer is to be used for


generating the output carry of a full adder.
[2016: 2 Marks, Set-3]
A and B are the bits to be added while Cin
is the input carry and Cout is the output 22. Consider the circuit shown in the figure.
carry. A and B are to be used as the select
bits with A being the more signification
select bit.

The Boolean expression F implemented by


the circuit is

A. XYZ + XY + Y Z B. X Y Z + XZ + Y Z

C. X Y Z + XY + Y Z D. XYZ + XZ + X Z

[2017: 1 Marks, Set-2]

28
www.gradeup.co

23. A four-variable Boolean function is realized DECODERS AND CODE CONVERTER


using 4 × 1 multiplexers as shown in the
25. If the input X3, X2, X1, X0 to the ROM in the
figure.
figure are 8 4 2 1 BCD numbers, then the
output Y3, Y2, Y1, Y0 are

The minimized expression for F (U, V, W,


X) is

A. (UV + UV ) W

B. (UV + UV )( WX + W X)

A. Gray code numbers


C. (U V + U V) W
B. 2421 BCD numbers
D. (U V + U V)( WX + W X)
C. Excess-3 code numbers
[2018: 2 Marks]
D. None of the above
24. The figure below shows a multiplexer
[2002: 2 Marks]
where S1 and S0 are the select lines, I0 to
I3 are the input data lines. EN is the enable 26. The circuit shown in the figure converts
line, and F (P, Q, R) is the output. F is

A. BCD to binary code

B. Binary to excess-3 code


A. B. C. Excess-3 to gray code

C. D. D. Gray to binary code

[2020: 1 Marks] [2003: 2 Marks]

29
www.gradeup.co

Statement for Linked Answer Question 27 28. What are the minimum numbers of NOT
gates and 2-input OR gages required to
And 28.
design the logic of the driver for this 7-
Two products are sold from a vending machine, segment display?
which has two push buttons P1, and P2. When a
A. 3 NOT and 4 OR B. 2 NOT and 4 OR
button is pressed, the price of the
corresponding product is displayed in a 7- C. 1 NOT and 3 OR D. 2 NOT and 3 OR
segment display. [2009: 2 Marks]
If no buttons are pressed, '0' is displayed,
29. Identify the circuit below,
signifying 'Rs. 0'

If only P1 is pressed, '2' is displayed, signifying


'Rs,2'

If only P2 is pressed, '5' is displayed, signifying


'Rs.5'

If both P1 and P2 are pressed, 'E is displayed,


signifying Error'
A. Binary to gray code converter.
The names of the segments in the 7-segment
display, and the glow of the display for '0’,'2', B. Binary to XS3 converter.
'5' and 'E' are shown below. C. Gray to binary converter.

D. XS3 to binary converter.

[2016: 2 Marks, Set-1]

30. The functional implement by the circuit


Consider below is
(i) Push button pressed/not pressed in
equivalent to logic 1/0 respectively,

(ii) A segment glowing/not glowing in the


display is equivalent to logic 1/0
respectively

27. If segments a to g are considered as


functions of P1 and P2 then which of the
following is correct?

A. g = P 1 + P2,d = c + e

B. g = P1 + P2,d = c + e A. Multiplexer B. 4-to-1 multiplexer

C. g = P 1 + P2,d = b + c C. 7-to-1 multiplexer D.6-to-1 multiplexer

D. g= P 1 + P2,d = b + c [2009: 2 Marks] [2016: 2 Marks, Set-1]

30
www.gradeup.co

PROGRAMMABLE LOGIC ARRAY The Boolean function F implemented is

31. A programmable logic array (PLA) is shown A. PQR + PQR + PQR


in the figure.
B. (P + Q+ R)(P + Q+ R)(P + Q+ R)

C. PQR + PQR + PQR

D. (P + Q+ R)(P + Q+ R)(P + Q+ R)

[2017: 2 Marks, Set-2]

ANSWER

1. B 2. B 3. C 4.195 5. 50 6. D 7. D 8. B 9. A 10. A
11. A 12. A 13. D 14. D 15. D 16. C 17. A 18. C 19. D 20. A
21. 7 22. B 23. C 24. C 25. B 26. A 27. B 28. D 29. A 30. B
31. C

SOLUTION

1. Given: Y = P  Q  R, Z = RQ + PR + QP Here  If B = 01, there will be two conditions,


every block is a full subtraction giving P – i.e., A = 10 and 11.
Q – R, where R is borrow. Thus, circuit acts
 If B = 10, there will be one condition,
as a 4-bit subtractors giving P – Q.
i.e., A = 11
2. Output will be 1 if A > B.
So, total 6 combinations are there for
 If B = 00, then there will be three
which output will be 1.
combinations for which output will be 1,
i.e., A = 01, 10 or 11.

31
www.gradeup.co

3. The truth table for half-subtractor is

X Y N = X–Y M
0 0 0 0
0 1 1 1
1 0 1 0
1 1 0 0

N = X  Y,M = XY

4.
In above diagram, the waveform at A and
B becomes table at t = 0 itself, as the
applied input combination does not cause
any change. So, for the given combination
of inputs, outputs, will settle at t = 50 ns.

This is 16-bit ripple carry adder circuit, in 6. TTL logic gage accepts floating input as
their operation carry signal is propagating logic 1.
from 1st stage FA0 to last state FA15, so
their propagation delay is added together
but sum result is not propagating. We can
Inputs x1, x2, x4, x7 of MUX are zero. Here
say that next sage sum result depends
these term will vanish in output.
upon previous carry.
The output of (8 × 1) MUX is
So, last stage carry (C15) will be produced

after 16 × 12ns = 192ns F = s2 s1s0 x0 + s2s1s0 x3 + s2 s1s0.x5

5. Assuming inputs to be added are X3X2X1X0 +s2s1s0.x6


= 1100, X3Y2Y1Y0 = 0100 and Z0 = 1.
= 0 + 0 + 1.B.A.1 + 1.B, A.0
For this combination of addition, total
minimum delays depends on the addition F = AB + AB = A  B.
of most-significant two bits (since least
7. A2n:1 MUX can implement all logic
significant two bits are zeros, they do not
functions of (n+1) variables without any
cause any change in Z1 and Z2). So, in the
additional circuitry. Hence, n = 3, i.e., 8 ×
process of addition of given two digits
1 MUX can implement all logic functions of
waveforms at Z1 and Z2 become stable at t
4 variable.
= 0 itself.

32
www.gradeup.co

8. For MUX – 1

A(S1 ) B(S0 ) Y1
0 0 0
0 1 1
1 0 1
1 1 0

 Y1 = AB + AB

Similarly, for MUX -2


9. First multiplexer
Y1(S1 ) C(S0 ) Y
0 0 0
0 1 1
1 0 1
1 1 0

Y = Y1C + Y1C
K-map for E
= (AB + AB)C+ (AB+ AB).C

 Y = ABC + ABC + ABC + ABC

11. Output of 4:1 MUX,

Z = RS.(P + Q) + RS.P + RS.PQ+ RS.P

For second multiplexer Z = PRS + QRS + PRS + PQRS + PRS.

Mapping above terms in K-map,

f = AE

= ABC + ABC

10.
Z = PQ + PQS + QRS.

12.

33
www.gradeup.co

F = S.I0 + S.I1  X = S1W + S1W

F = A.0 + A .B  F = S2.X + S2.X

F = AB (AND gate)
= S2 (S1W+ S1W) + S2 (S1W + S1W)

= S1S2W + S2S1W + S2 (S1W+ S1W)

F = S1S2W + S2S1W + S2S1W + S2S1W

F = W  S1  W2.

Y = AB + AB.(Ex– OR gate). 16. A = WXI0 + WXI1 + WXI2 + WXI3

13. For 4 × 1 MUX,


= WX + WX.
F = S1S0I0 + S1S0I1 + S1S0I2 + S1S0I3
F = Y Z I0 + YZI1 + Y Z I2 + YZI3
Given: S1 = A, S0 = B, I0 = C, I1 = D, I2 =
= WXYZ + WXYZ + WXYZ + WXYZ
C , I3 = C.D

 F (A, B, C, D) = WXY(Z+ Z) + WXY(Z+ Z)

14. F = WXY + WXY

F = S1S0I0 + S1S0I1 + S1S0I2 + S1S0I3


17.
F = PQ.0 + PQ.1 + PQ.1 + PQ.0
X Y D B
F = 0 + PQ + PQ + 0 0 0 0 0
0 1 1 1
F = P  Q.
1 0 1 0
15. 1 1 0 0

So, D = XY

= XY + XY

and B = X.Y

34
www.gradeup.co

= P + Q + R + S.

 P = Din,Q = S2 ,R = S1,S = S0

20. In case of a full adder,

18.
I0 = 0
I1 = Cin

I2 = Cin
I3 = 1

21. When, T = 0, path followed by the circuit


would be NOR gate → MUX1 → MUX2.

Propagation delay, tpd1= 2+1.5+1.5 =5 ns.

When T = 1, path followed by the circuit


would be
Y = ABCD + ABCD + ABC
NOR gate → MUX1 → NOR gate → MUX2
Remaining combinations of the select lines
Propagation delay, tpd2 = 1+1.5+2+1.5
will produce output 0.
= 6 ns.
So, Y = ACD(B+ B) + ABC
The maximum propagation delay = 6 ns.

= ACD + ABC 22.

= ABC + ACD

19. From the given circuit, considering as 1×8


DMUX,

Y1 = 1A0 + 1A1 + E = (R + S+ P+ Q)

= P+ Q+ R + S.  F1 = XY + 0 = XY

Similarly,  F = Z.F1 + ZF1 = (XY)Z+ (XY)Z

Y1 = (1 A0 + 1 A1 + 1E) = P+ Q+ R + S. = XYZ + (X + Y) Z = XYZ + XZ + XYZ

Y4 = (2 A0 + 2 A1 + 2E) = R + S+ P+ Q

35
www.gradeup.co

23. Now as D6 is not connected to Y3,Y2,Y1,Y0


D1 is connected to Y0
D2 is connected to Y1
D3 is connected to Y1,Y0
D4 is connected to Y2
D5 is connected to Y2, Y0

Output of first multiplexer is given by D6 is connected to Y3, Y2

F1 = UV + UV D7 is connected to Y3, Y2, Y0

and output of the second multiplexer is D8 is connected to Y3, Y2, Y1


given by D9 is connected to Y3, Y2, Y1, Y0
F = WXF1 + WXF1 = WF1 = (UV + UV) W Thus constructing truth-table for output Y,

( F1 = UV + UV) 2– 4 – 2 – 1

24. Y3 Y2 Y1 Y0 Output
D0 0 0 0 0 0
D1 0 0 0 1 1
D2 0 0 1 0 2
D3 0 0 1 1 3
D4 0 1 0 0 4
D5 0 1 0 1 5
D6 1 1 0 0 6
D7 1 1 0 1 7
D8 1 1 1 0 8
D9 1 1 1 1 9

By the output shown


for 7 = 2Y3 + 4Y2 + Y0
25.
8 = 2Y3 + 4Y2 + 2Y1
8 4 2 1 BCD INPUT 9 = 2Y3 + 4Y2 + 2Y1 + 2Y0
X3 X2 X1 X0 Output
Hence it can be inferred that out is
0 0 0 0 D0
0 0 0 1 D1 2 – 4 – 1 BCD code.
0 0 1 0 D2 26.
0 0 1 1 D3
0 1 0 0 D4
0 1 0 1 D5
0 1 1 0 D6
0 1 1 1 D7
1 0 0 0 D8
1 0 0 1 D9

36
www.gradeup.co

Assuming inputs are a, b, c &d and output a=1


are w, x, y & z,
 b = P2 → NOT
 w = a, x = a  b, y = c  x  (a+ b +), z
 b = P1 → NOT
Let input be 1010; output will be 1101

Let input be 0110; output will be 0100 d=1

Thus, it converts gray code number to  e = P1 + P2 → OR


binary code number.
 f = P1 + P2 → OR
27. With given conditions, the truth table is
shown below.  g = P1 + P2 → OR

P1 P2 a b c d e f g  d = c + e & g = P1 + P2
0 0 1 1 1 1 1 1 0
0 1 1 0 1 1 0 1 1 28. 2 NOT gates and 3 OR gates are required.
1 0 1 1 0 1 1 0 1
1 1 1 0 0 1 1 1 1

29. Here the truth table for the given circuit is shown below.

X2 X1 X0 OP0 OP1 OP2 OP3 OP4 OP5 OP6 OP7 IP0 IP1 IP2 IP3 IP4 IP5 IP6 IP7 Y2 Y1 Y0
0 0 0 1 0 0 0 0 0 0 0 1 0 0 0 0 0 0 0 0 0 0
0 0 1 0 1 0 0 0 0 0 0 0 1 0 0 0 0 0 0 0 0 1
0 1 0 0 0 1 0 0 0 0 0 0 0 0 1 0 0 0 0 0 1 1
0 1 1 0 0 0 1 0 0 0 0 0 0 1 0 0 0 0 0 0 1 0
1 0 0 0 0 0 0 1 0 0 0 0 0 0 1 0 0 0 0 – – –
1 0 1 0 0 0 0 0 1 0 0 0 0 0 0 1 0 0 0 – – –
1 1 0 0 0 0 0 0 0 1 0 0 0 0 0 0 1 0 0 1 0 1
1 1 1 0 0 0 0 0 0 0 1 0 0 0 0 1 0 0 0 1 0 0

Hence it will be binary to gray code converter.

30. When the output (00, 01, 02,03) of the  C1C0 = 10, then O2 = 1; Y = R
decoder are at logic 1, the corresponding
 C1C0 = 11, then O3 = 1; Y = S.
tristate buffer is activated. In that case,
whatever data is applied at the input of a Hence, the circuit behaves as a 4:1
buffer, becomes its output. Hence, when multiplexer.

 C1C0 = OO, then O0 = 1; Y = P 31. In given circuit, enable and output of any
tristate buffer is not connected as input for
 C1C0 = 01, then O1 = 1; Y = Q
same gage.

37
www.gradeup.co

 F1 = PQR

F2 = PQR

F3 = PQR

 F = F1 + F2 + F3 = PQR + PQR + PQR



38
Analysis of Previous GATE Papers

4
Chapter
www.gradeup.co

Topic Year / Set Marks Distribution

2019
2018

2020
2015 Set 1
2015 Set 2
2015 Set 3

2017 Set 1
2017 Set 2
2016 Set 1
2016 Set 2
2016 Set 3

1
1
MCQ Type
1 Mark

1
1
Numerical Type
Latches & Flip-

1
1

MCQ Type
Flops
2 Marks

1
1
Numerical Type

2
3
2
2
1
2

Total

39
1
1

MCQ Type
1 Mark
1
1
1
1

Numerical Type
Counters & Shifts
1

MCQ Type
Registers
2 Marks
Numerical Type
1
1
2
2
2

Total

MCQ Type
1 Mark
1

Numerical Type
Sequential Circuits

Finites State
1
1
1

MCQ Type
Machine
2 Marks
1

Numerical Type
2
1
2
2
2

Total
www.gradeup.co

LATCHES & FLIP-FLOPS


C.
1. A sequential circuit using D Flip-Flop and
logicgates is shown in the figure, where X
and Yarethe inputs and Z is the output.
The circuit is D.

[2001: 2 Marks]

3. A master-slave flip-flop has the


characteristic that

A. change in the input immediately


reflected in the output.
A. S-R Flip-Flop with inputs X=Rand Y= S.
B. change in the output occurs when the
B. S-R Flip-Flop with inputs X = Sand Y = R. state of the master is affected.

C. J-K Flip-Flop with inputs X = J and Y = K. C. change in the output occurs when the
state of the slave is affected.
D. J-KFlip-Flop with inputs X = Kand Y= J.
D. both the master and the slave states
[2000 : 2 Marks] are affected at the same time.

2. The digital block in the figure is realized [2004 : 1 Mark]

usingtwo positive edge triggered D-flip- 4. The present output Qn of an edge triggered
flops. Assumethat for t < t0, Q1 = Q2 = 0. JK flip-flop is logic 0. If J = 1, then Qn+1
The circuit in thedigital block is given by:
A. cannot be determined.

B. will be logic 0.

C. will be logic 1.

D. will race around. [2005 : 2 Marks]


A.
5. The following binary values were applied to
the X and Y inputs of the NAND latch
shown in the figure in the sequence
indicated below:
B.
X= 0, Y= 1; X= 0, Y= 0;

X = 1, Y = 1.

40
www.gradeup.co

The corresponding stable P, Q outputs will


be
C.

D.
A. P=1, Q = 0; P= 1, Q= 0; P= 1, Q = 0 or
P = 0, Q = 1.

B. P= 1, Q = 0; P= 0, Q = 1 or P = 0,
[2008 : 2 Marks]
Q = 1;P = 0, = 1
7. For the circuit shown in the figure, 0 has a
C. P = 1, Q = 0; P = 1, Q = 1; P = 1,
transition from 0 to 1 after CLK changes
Q = 0 or P = 0, Q = 1. from1 to 0. Assume gate delays to be
D. P = 1, Q = 0; P = 1, Q = 1; P = 1, Q = 1. negligible

[2007 : 2 Marks]

6. For each of the positive edge-triggered J-K


flip flop used in the following figure, the
propagation delay is ΔT

Which of the following statements is true?

A. Q goes to 1 at the CLK transition and


stay sat 1.

B. Q goes to 0 at the CLK transition and


stay sat 0.

C. Q goes to 1 at the CLK transition and


goes to 0 when D goes to 1.

Which of the following waveforms correctly D. Q goes to 0 at the CLK transition and
represents the output at Q1? goes to 1 when D goes to 1.

[2008 : 2 Marks]

A. 8. Refer to the NAND and NOR latches shown


in the figure. The inputs (P1, P2) for both
the latches are first made (0,1) and then,
after a few seconds, made (1,1). The
corresponding stable outputs (Q1, Q2) are
B.

41
www.gradeup.co

A. NAND: first (0,1) then (0,1) NOR: first


(1,0) then (0, 0)
C.
B. NAND: first (1, 0) then (1, 0) NOR: first
(1, 0) then (1, 0)

C. NAND: first (1, 0) then (1, 0) NOR: first


(1,0) then (0, 0)

D. NAND: first (1, 0) then (1,1) NOR: first D.


(0,1) then (0, 1)

[2009 : 2 Marks]

9. Consider the given circuit [2012 : 2 Marks]

11. The digital logic shown in the figure


satisfies the given state diagram when Q1
is connected to input A of the XOR gate.

In this circuit, the race around

A. does not occur.

B. occurs when CLK = 0.

C. occurs when CLK = 1 and A = B = 1.

D. occurs when CLK = 1 and A = B = 0.

[2012 : 1 Mark]

10. The state transition diagram for the logic


circuit shown in

Suppose the XOR gate is replaced by an


XNOR gate. Which one of the following
options preserves the state diagram?

A. A. Input A is connected to Q2 .

B. input A is connected to Q2·

C. Input A is connected to Q1 and S is


complemented.
B.
D. Input A is connected to Q1.

[2014 : 2 Marks, Set-1]

42
www.gradeup.co

12. In the circuit shown, choose the correct the circuit fails to work as desired. The SR
timing diagram of the output (Y) from the latch can be made functional by changing
given waveforms W1, W2, W3 and W4.

A. NOR gates to NAND gates

B. inverters to buffers

C. NOR gates to NAND gates and inverters


to buffers

D. 5V to ground

[2015 : 2 Marks, Set-3]

15. Assume that all the digital gates in the

A. W1 B. W2 circuit shown in the figure are ideal, the


resistor R =10 k Ω and the supply voltage
C. W3 D. W4
is 5 V. The D flip-flops D1, D2, D3, D4 and
[2014 : 2 Marks, Set-2] D5 are initialized with logic values 0,1,0,1

13. The circuit shown in the figure is a and 0, respectively. The clock has a 30%
duty cycle.

A. Toggle flip-flop The average power dissipated (in m W) in


the resistor R is _______.
B. JK flip-flop

C. SR Latch [2016 : 1Mark, Set-2]

D. Master-Slave D flip-flop 16. In the latch circuit shown, the NAND gates
have non-zero, but unequal propagation
[2014 : 1 Mark, Set-3]
delays. The present input condition is: P=
14. An SR latch is implemented using TTL Q = ‘0’. If the input condition is changed
gates as shown in the figure. The set and simultaneously to P= Q = ‘1’, the outputs
reset pulse inputs are provided using the
X and Yare
push-button switches. It is observed that

43
www.gradeup.co

the value of ΔT/TCK = 0.15, where the


parameters ΔT and TCK are shown in the
figure. Assume that the Flip-Flop and the
XOR gate are ideal.

A. X = '1', Y= 1.

B. either X = '1', Y = '0' or X = '0', Y = '1'.

C. either X = '1', Y = '1' or X = '0', Y = '0'.

D.X = '0', = '0'.

[2017 : 1 Mark, Set-1]

17. Consider the D-Latch shown in the figure,


which is transparent when its clock input
CK is high and has zero propagation delay. If the probability of input data bit (Din)
In the figure, the clock signal CLK1 has a transition in each clock period is 0.3, the
50% duty cycle andCLK2 is a one-fifth average value (in volts, accurate to two
period delayed version ofCLK1. The duty
decimal places) of the voltage at node X, is
cycle at the output of the latch in
percentage is ______. [2018 : 2 Marks]

19. In the circuit shown, what are the values of


F for EN = 0 and EN = 1, respectively?

[2017 : 1 Mark, Set-1]


A. 0 and1 B. Hi – Z and D
18. In the circuit shown below, a positive
edge-triggered D flip-flop is used for C. 0 and D D. Hi –Z and D
sampling input data Din using clock CK,
[2019 : 1Marks]
The XOR gate outputs3.3 volts for logic
20. The state transition diagram for the circuit
HIGH and 0 volts for logic LOW levels. The
shown is
data bit and clock periods are equal and

44
www.gradeup.co

A.

[2020 : 2 Marks]

COUNTERS & SHIFT REGISTERS

B. 22. In the figure, the J and K inputs of all the


four flip-flops are made high. The
frequency of the signal at output Y is

C.

A. 0.833 kHz B. 1.0 kHz

C. 0.91 kHz D. 0.77 kHz

[2000 : 2 Marks]

D. 23. A 0 to 6 counter consists of 3 flip flops and


a combination circuit of 2 input gate(s).
The combination circuit consists of

A. one AND gate


[2019 : 2 Marks]
B. one OR gate
21. For the components in the sequential
C. one AND gate and one OR gate
circuit shown below, tpd is the propagation
delay, tsetup is the setup time, and thold is D. two AND gates [2003 : 1 Mark]

the hold time. The maximum clock 24. A 4 bit ripple counter and a 4 bit
synchronous counter are made using flip-
frequency (rounded off to the nearest
flops having a propagation delay of 10 ns
integer), at which the given circuit can
each. If the worst case delay in the ripple
operate reliably, is …. MHz. counter and the synchronous counter be
Rand S respectively, then

45
www.gradeup.co

A. R= 10 ns, S = 40 ns
B. R = 40 ns, S= 10 ns
C. R =10 ns, S = 30 ns
D. R = 30 ns, S =10 ns
A. 010 B. 100
[2003 : 2 Marks]
C. 111 D. 101
25. A master-slave flip-flop has the
characteristicthat [2005 : 2 Marks]

A. change in the input immediately 28. For the circuit shown in the figure below,
reflectedin the output. two4-bit parallel-in serial-out shift registers
loaded with the data shown are used to
B. change in the outputoccurs when the
stateofthe master is affected. feed the datato a full adder. Initially, all
the flip-flops are in clear state. After
C. change in the output occurs when the
applying two clock pulses, the outputs of
stateofthe slave is affected.
the full adder should be
D. both the master and the slave states
areaffected at the same time.
[2004 : 1 Mark]
26. In the modulo-6 ripple counter shown in
thefigure, the output of the 2-inputgate is
used toclear the J-Kflip-flops.

A. S = 0 C0 = 0 B. S = 0 C0 = 1
C. S = 1 C0 = 0 D. S = 1 C0 = 1
[2006 : 2 Marks]
29. Two D-flip-flops, as shown below, are to be
The 2-input gate is connected as a synchronous counter that
A. a NAND gate goes through the following Q1Q0
sequence00 → 01 → 11 → 10 → 00 →
B. a NOR gate
.............
C. an OR gate
The inputs D0 and D1 respectively should
D. an AND gate be connected as
[2004 : 2 Marks]
27. The given figure shows a ripple counter
using positive edge triggered flip-flops.
If the present state of the counter
isQ2Q1Q0=011, then its next state (Q2Q1Q0) A. Q1 and Q0 B. Q0 and Q1
will be
C. Q1Q0 and Q1Q0 D. Q1Q0 and Q1Q0

46
www.gradeup.co

[2006 : 2 Marks]
30. For the circuit shown, the counter state
(Q1Q0)follows the sequence

A. 0010111... B. 0001011...

C. 0101111.. D. 0110100 ...

[2010 : 2 Marks]

33. When the output Yin the circuit below is


A. 00,01, 10, 11, 00 ..
"1", itimplies that data has
B. 00, 01, 10, 00, 01

C. 00,01, 11,00,01 ...

D. 00. 10, 11, 00, 10

[2007 : 2 Marks] A. changed from "0" to "1’.


31. What are the counting states (Q1 Q2) for B. changed from ‘1" to "0".
the counter shown in the figure below?
C. changed in either direction.

D. not changed.

[2011 : 1 Mark]

34. The output of a 3-stage Johnson (twisted-


A. 11, 10, 00, 11, 10, ....... ring)counter is fed to a digital-to-analog
B. 01, 10, 11, 00,01, ....... . (D/A)converter as shown in the figure
below. Assume all states of the counter to
C. 00, 11, 01, 10, 00, ....... . be unset initially. The waveform which

D. 01, 10,00, 01, 10, ........ . represents the D/A converter output V0 is

[2009 : 2 Marks]

32. Assuming that all flip-flops are in


resetconditionsinitially, the count sequence
observedat QA in the circuit shown is

47
www.gradeup.co

[2011 : 2 Marks]

36. Five JK flip-flops are cascaded to form the


circuit shown in figure. Clock pulses at a
A.
frequency of1 MHz are applied as shown.
The frequency(in kHz) of the waveform at
Q3 is ________ .

B.

[2014 : 1 Marks, Set-11]

37. The outputs of the two flip-flops Q1, Q2 in


the figure shown are initialized to 0, 0. The
C.
sequence generated at Q1 upon application
of clock signal is

D.

[2011 : 2 Marks] A. 01110 ... B. 01010 ...

35. Two D flip-flops are connected as a C. 00110 ... D. 01100 ....


synchronous counter· that goes through
[2014 : 2 Marks, Set-2]
the following QB QA sequence 00 → 11 →
01 → 10 → 00 → ......... . 38. A mod-n counter using a synchronous
binary up-counter with synchronous clear
The connections to the inputs DA and DB
input is shown in the figure. The value of n
are
is ____ .
A. DA = QB, DB = QA.

B. DA = QA , DB = QB .

C. DA = (QA DB + QA QB), DB = QA.

D. DA = (QADB + QA QB ), DB = QA .

48
www.gradeup.co

[2015 : 1 Marks, Set-2]

39. The figure shows a binary counter with


synchronous clear input. With the decoding
logic shown, the counter works as a
A. 000 B. 001

C. 010 D. 100

[2015 : 2 Marks, Set-3]

42. For the circuit shown in the figure, the


delay of the bubbled NAND gate is 2 ns
and that of the counter is assumed to be
zero.

A. mod-2 counter B. mod-4 counter

C. mod-5 counter D. mod-6 counter

[2015 : 2 Marks, Set-2]

40. The circuit shown consists of J-K flip-flops,


each with an active low asynchronous reset
( R d input).The counter corresponding to
this circuit is If the clock (Clk) frequency is 1 GHz, then
the counter behaves as a

A. mod-5 counter. B.mod-6counter.

C. mod-7 counter. D. mod-8 counter.

A. a modulo-5 binary up counter [2016 : 2Marks,Set-3]

B. a modulo-6 binary down counter 43. A 4-bit shift register circuit configured for
C. a modulo-5 binary down counter right shift operation, i.e. Din → A, A → B,
B → C, C → D, is shown. If the present
D. a modulo-6 binary up counter
state ofthe shiftregister is ABCD = 1101,
[2015 : 1 Mark, Set-3] the number of clockcycles required to
41. A three bq pseudo random number reach the state ABCD = 1111 is
generatoris shown. Initially the value of
output Y = Y2Y1Y0 is set to 111. The value
of output Y afterthree clock cycles is

[2017 : 2 Marks, Set-1]

49
www.gradeup.co

44. In the circuit shown, the clock frequency,


i.e.,the frequency of the Clk signal, is 12
kHz. The frequency of the signal at Q2 is
______ kHz.

Assume that XIN is held at constant logic


level throughout the operation of the FSM.
When the FSM is initialized to the QAQB =
00 and clocked, after a few clock cycles, it
[2019 : 1 Mark] starts cycling through

FINITE STATE MACHINE A. all of the four possible states if XIN = 1.

45. The state transition diagram for a finite B. three of the four possible states if XIN = O.
state machine with states A. Band C, and
C. only two of the four possible states if XIN =1.
binary inputs X, Y and Z, is shown in the
figure. Which one of the following D. only two of the four possible states if
statements is correct? XIN, = O.

[2017 : 2 Marks, Set-1]

47. The state diagram of a finite state


machine(FSM) designed to detect an
overlapping sequence of three bits is
shown in the figure. The FSM has an input
'In' and an output 'Out'. The initial state of
the FSM is S0,

A. Transitions from State A are


ambiguously defined

B. Transitions from State B are


ambiguously defined.

C. Transitions from State C are


ambiguously defined.

D. All of the state transitions are defined


unambiguously. If the input sequence is
10101101001101,starting with the left-
[2016 : 2 Marks, Set-2]
most bit, then the number of times 'Out'
46. A finite state machine (FSM) is will be 1 is ____
implemented using the D flip-flops A and
[2017:2 Marks, Set-2]
B, and logic gates, as shown in the figure
below. The four possible states of the FSM 48. A traffic signal cycles from GREEN to
are QAQB = 00, 01, 10, and11. YELLOW,YELLOW to RED and RED to

50
www.gradeup.co

GREEN, In eachcycle, GREEN is turned on


for 70 seconds,YELLOW is turned on for 5
seconds and theRED is turned on for 75
seconds. This trafficlight has to be
implemented using a finite statemachine
(FSM). The only input to this FSM isa clock
of 5 second period. The minimumnumber
offlip-flops required to implement thisFSMis
……….

[2018 :1Mark]

49. The state diagram of a sequence detector


is shown below. State S0 is the initial state A. the sequence 01010 is detected.
of the sequence detector. If the output is B. the sequence 01011 is detected.
1, then C. the sequence 01110 is detected.
D. the sequence 01001 is detected.
[2020 : 2 Marks]

ANSWER

1. d 2. c 3. b 4. c 5. c 6. b 7. c 8. c 9. a 10. d
11. d 12. c 13. d 14. d 15.1.5 16. b 17.30 18.0.8415 19. d 20.b
21.(76,78) 22. b 23. b 24. b 25. b 26. c 27. b 28. d 29. a 30. c
31. a 32. d 33. a 34. a 35. d 36.(62.4,62.6) 37. d 38. 7 39. c 40. a
41. a 42.d 43.11 44.4 45. c 46. c 47. 4 48. 5 49.a

51
www.gradeup.co

SOLUTION

1. From given circuit,

D = XZ + YZ

For option (c),

Truth table for X — Y

Hence, option (c) is correct.

3. Change in o/p occurs when the state ofthe


masteris affected

State of slave is depend on state of


master.

Hence, the output is also depend on


Comparing with J-K truth-table,We have
master.
X = K, Y = J
4. Given: For J-K flip-flop, Qn = 0, J = 1.

IfK = 0, Qn+1 = 1 (set state)

IfK = 1, Qn+1 = 1 (toggle state)

5.

2. It is given that clock is positive edge


triggered inoption (a) and(c).

Since, D flip-flop is transparentflip-flop


meansits input is transferred at output as
it is, whenclock is given.

In option (a), Since, Q1 = Q2 = 0,

52
www.gradeup.co

Pt +1 = X  Qt = X + Qt 8. For NAND gate Latch:

Qn+1 = P1  Qn and Qn+1 = P2  Qn


Qt +1 = Y  Pt = Y + Pt

Case 1 : P1 = 0, P2 = 1.

Last condition is not stable, it Qn+1 = 0  Qn = 0 = 1


continuouslychanges its state. Because
changes of outputagain change its states. Qn+1 = 1  Qn = Qn = 0.

6. For this logic circuit, in case of n flip-flops, Case 2: P1 = 1, P2 = 1.


n
timeperiod oflast output waveform=2 T. Qn +1 = 1  Qn = Qn = Qn = 1
 memory state
Where, T = Time period for clock pulse Qn +1 = 1  Qn = Qn = Qn = 1

ΔT = propagation delay of one flip-flop

n·DT = Delay time.

Time period of waveform of output atQ1 =


2 x 2T=4T For NOR gate latch:

Time delay at output, Q1 = 2·ΔT.

7.

Qn+1 = P1 + Qn = P1  Qn

Qn+1 = P2 + Qn = P2  Qn
 Qn+1 = (D + CLK + Qn ) = (D + CLK)· Qn
Case 1: P1 = 0, P2 = 1.

Qn+1 = 1  Qn = Qn = 0
 Qn +1 = (D+ CLK + Qn ) = ( D + CLK)·Qn

Qn +1 = 0  Qn = 1

Case 2: P1 = 1, P2 = 1

Qn +1 = 0  Qn = 0

Qn +1 = 0  Qn = 0.

53
www.gradeup.co

9. Given flip-flop is S-R flip-flop with A = S


and B = R.

In S-R flip-flop, race around condition does


This is a synchronous circuit, so both the
not occur.
flipflops will trigger at the same time and
10. When A = 1, Q will be selected by MUX will respond on falling edge of the Clock.
and feedback to D-FF which gives output Q So, the correct output (Y) waveform is
associated to W3waveform.
again. Itis hold state.
13. Latches are used to construct Flip-Flop.
When A = 0, Q will be selected by MUX
Latches are level triggered, so if you use
and feedback to D-FF and output will be two latches in cascaded with inverted
inverted. Itis toggle state. clock, the none latch will behave as
master and another latch which is having
So, option (d) is correct.
inverted clock will be used as a slave and
11. Initially, when EX-OR gate is connected to combined it will behave as a flip-flop. So
the input ofFF2, i.e., input ofD2·D2 given circuit is implementing Master-
SlaveD flip-flop
= A  S = Q1  S.
14. For TTL gate, open end acts as logic '1'.
Now, Ex - OR is replaced by Ex-NOR,
Ifwe connect SV battery to ground then
keepingstate diagram to be unchanged, it pressingthe switches allow logic '0', while
means the inputto D2 should not get opening theswitches allow logic '1', In case
changed. Now, of 5V battery bothopening and closing of
switches allow logic '1' andhence circuit
D2 = A S.
can't act as SR latch.

 A B = A B 15.

We require output D2 to be asD2 = A  S; CLK Q1 Q2 Q3 Q4 Q5 Y=Q3+Q5


Ashould be connected to Q1 as 0 0 1 0 1 0 0
1 0 0 1 0 1 1
D2 = Q1 S = Q1 S = Q1  S.
2 1 0 0 1 0 0
12. This circuit has used negativeedge 3 0 1 0 0 1 1
triggered, sooutput of the D-flip flop will 4 1 0 1 0 0 1
changed onlywhen CLK signal is going from
5 0 1 0 1 0 0
HIGH toLOW (1 to 0)

54
www.gradeup.co

The waveform of the gate output

Average power dissipated

V2 TON 52 3T Duty cycle at the output


P=  = 
R T 10 5T
 TCLK TCLK 
 2 − 5 
= 1.5 mW =  100 %
 TCLK 
16.
 3 
=  100  % = 30%
 10 

18.

Present input condition: P = Q = 0

 Corresponding outputs are X = Y = 1

When input condition is changed to

P = Q = 1 from P = Q = 0:

Possibility - 1 :
Wheninput is changed during clock period
Let gate-2 is faster than gate-I, then the (withprobability 0.3)
possibleoutputs are X = 1, Y = 0
Wheninputis not changed during clock
Possibility - 2 : period(with probability 0.7)

Let gate-1 is faster than gate-2, then the   T  


VX(avg) = 0.3  3.3 1 −  + [0.7  0] V
possibleoutputs are X = 0, Y = 1   TCK  

Hence, option (b) is correct. T


 
 = 0.15V 
17.  TCK 

= 0.3 x 3.3 x (1-0.15)V

= (0.3 x 3.3 x 0.85) V = 0.8415 V

19.

55
www.gradeup.co

When Q = 0, Q = 1 and A = 1, then Y = Q


= 1, so D = QY = 0.0 = 1 after 1 clock Q+ = 1

For Q = 1

→ When Q = 1, Q = 0 and A = 0, then Y


→ NAND gate enabled, when their enable = Q = 0, so D = QY = 1.0 = 0 = 1 after 1
input is "I" and NOR gate enabled, when
clock Q+ = 1
their enable input is "0".
→ When Q = 1, Q = 0 and A = 1, then Y
Case (i) :
= Q = 1, so D = QY = 1.1 = 0 after 1 clock
When EN = 0, then both the logic gates
Q+ = 0
NAND and NOR disabled, so CMOS inverter
input is floating. So, output is also high
impedance state.

F = Hi- z

Case (ii) :

When EN = 1, then both the logic gates [State diagram for Q=1]
NAND and NOR are enabled with output D By combining both we can draw a single
that is input of CMOS inverter. state diagram

So, F= D = D

20. The given circuit is

21. Total maximum propagation delay

= (Tpd + Tsetup)max = 8ns + 5ns = 13ns

For Q = 0, state diagram

When Q = 0, Q = 1 and A = 0, then Y = Q 22. Output of NAND is zero when


= 1, so D = QY = 0.1 = 0 = 1 after 1 clock Q3Q2Q1Q0havestate 1010 = (10)Dec.
Q+ = 1 Therefore, the given figure represents
mod-10 counter. And, frequency of the
signal will be

56
www.gradeup.co

10KHz Hence, the 2 - input gate, should be OR


f= = 1KHz
10 gate sothat CLR = 0 for all the three flip-
flops.
23. Given: no offlip-flop, n = 3, of states
required = 7(0 to 6). 27. Given: Present state of counter, Q2 Q1 Q3
= 011.
It is possible only with asynchronous
counter.Undesired state is 111. Since, it is a ripple counter, Q0 triggers

T1FFand Q1 triggers T2 FF. For toggle or T-


FF,

24. Propagation delay in 4-bit ripple counter =


4Td=40nsand, since all the flip-flops in the
synchronouscounter are clocked
simultaneously, then itsworst delay will be
equal to 10 ns.

25. Change in o/p occurs when the state ofthe After 1st clock, Q2 Q1 Q0 = 100.
masteris affected
28. Given: Initially, A = 0, B = 0, Ci = 0, C0 =
State of slave is depend on state of
0
master.

Hence, the output is also depend on (All FFs are close).


master.
S is addition of bits atA, Band C1: C0 is the
26. nextcarry to be generated.

29. IfD1 = Q0 and D0 = Q1 , then


To perform the given ripple counter as
module-6,the flip-flop should be cleared at
the end of sixthpulse.

Since at 6th pulse,

B= C = 1,

then B = C = 0.

57
www.gradeup.co

30.

Therefore, the count sequence at QA is


From diagram, D0 = Q0 + Q1 = Q0  Q1 0110100.

and D1 = Q0 33. Y = 1 is possible only when both flip-flop


output sare '1'. It means before applying
clock both flip flop input should be '1'.
Before applying clock, output of 1st FF
should be '0' and after applying clock,
output of 1st FF should be '1'. It depends
only input data when changes from '0' to
'1'.

34. Sequence of Johnson counter and output


31. From figure, J1 = K1 = Q2 , J2 = Q1, K2 = 1.
voltage is describe below:
Assuming initial outputs as 0, 0.

35.

32. From given figure,

DA = QC  DB DB=QA,DC=QB·

given: Initially, QA = QB = QC = 0.

DA = 1.1 = 1, DB = 0, DC = 0.

58
www.gradeup.co

Now, using excitation table of D-Ff.


Once the output of Ex-NOR gate is 0 then
DA = QAQB + QA QB; DB = QB.
counterwill be RESET. So, Ex-NOR-gate will
produce logic0 for Q3 = 0, Q2 = 1. So, the
counter will show thesequence like:

So, it is MOD-5 counter.


input frequency 1MHz 40. 1. Clock is taken from normal output and it
36. fQ = =
3 modulus of counter 16 is–ve edge triggering. So, it is UP-counter.

2. Input ofthe NAND-gate is taken from Q2


fQ = 62.5 kHz.
3
andQ0. So Q2 = 1 and Q0 = 1.
37.
3. To find the modulus

(Q2, Q1, Q0) = (101)

So, it is MOD - 5 binary UP-counter.

41. Consider as new solution.

From given figure,

D2 = Q0  Y1 , D1 = Q2 = Y2, D0 = Q1 = Y1

So, the output sequence generated at Q1is


01100 .....

38. Tofind the modulus of the counter,


consider thestatus of the inputs (QB’ QC) as
1.
 After three clock
So, QAQBQCQD = 0110
Y = Y2 Y1 Y0 is 100.
So, it is a MOD-7 counter
42. Given: Clock frequency = 1 GHz, Time
39.
period = 1 ns.

59
www.gradeup.co

If the propagation delay of the NAND gate X Y Z


were0 ns, the circuit would have behaved 0 0 0 A B
mod-6counter. 0 0 1 A A
However, the delay of NAND gate is 2 ns. 0 1 0 A A
Duringthis time, two more clock pulses 0 1 1 A A
would reach thecounter, and therefore it 1 0 0 A C
would count two morestates. Hence, it act 1 0 1 A C
as mod-8 counter. 1 1 0 A A
1 1 1 A A
43.

Present State B

Binary input
Preset State Next State
X Y Z
0 0 0 B A
0 0 1 B C
0 1 0 B B
0 1 1 B B
1 0 0 B A
1 0 1 B B
1 1 0 B B
1 1 1 B B
Hence inorder to reach the state ABCD =
1111,total 10 clock pulse is required. Present State C

44. Binary input


Preset State Next State
X Y Z
0 0 0 C C
0 0 1 C C
0 1 0 C C
0 1 1 C B
1 0 0 C C
1 0 1 C A
1 1 0 C C
1 1 1 C AB

In state 'C' when XYZ = 111; the


ambiguity occurs. Because from state 'C'

Q2Q1 → 00 → 01 → 10 → 00 When, X = 1, Z = 1  Next state = A

It is a MOD-3 synchronous counter When, Y = 1, Z = 1  Next state = B


fi 12kHz
So, fQ = = = 4kHz So, transitions from state 'C' are
2 3 3
ambiguous defined.
45. For State A
46. From the given diagram
Binary input Preset State Next State

60
www.gradeup.co

DA = QA  QB So, the option (d) is correct.

47. By observing the given state diagram, FSM


and DB = QA XIN
can be used to detect the sequence '101'.It
For XIN = 0 is given in the question that the FSM
detectsoverlapping sequences also. The
DA = QA  QB
given inputsequence is 10101101001101
So, output will be 1for 4 times.
And DB = 1
48. Duration for individual signal is given as

GREEN → 70 seconds

YELLOW → 5 seconds

RED → 75 second

Clock period → 5 seconds

Total number of unique states required


So, for XIN = 0
70 + 5 + 75
= = 30.
Number of possible states = 2 5

DA = QA  QB Minimum number of flip-flops required,

And DB = QA n = log2(30) = 4.91

n  5.

49. If output of sequence is 1, then it is


transverse. So,

So, for X1N = 1,

Number of possible states = 3

61
Analysis of Previous GATE Papers

5
Chapter
www.gradeup.co

Topic Year / Set Marks Distribution

2019
2018

2020
2015 Set 1
2015 Set 2
2015 Set 3

2017 Set 1
2017 Set 2
2016 Set 1
2016 Set 2
2016 Set 3

1
MCQ Type
1 Mark
Numerical Type
Logic Families &
MCQ Type
Their Comparison
2 Marks
Numerical Type

1
Total

62
1
1
1
1
1

MCQ Type
1 Mark
Numerical Type
Static CMOS
Implementation MCQ Type
of Logic GATES 2 Marks
Numerical Type
1
1
1
1
1

Total
1

MCQ Type
1 Mark
Numerical Type
Semi Conductor
Logic Families and

Memories (SRAM, MCQ Type


DRAM, ROM) 2 Marks
Numerical Type
Semiconductor Memories

2
1

Total
www.gradeup.co

LOGIC FAMILIES & THEIR COMPARISON A. an NMOS inverter with enhancement


mode transistor as load
1. The output of the 74 series GATE of
TTL gates is taken from a BJT in B. an NMOS inverter with depletion mode

A. Totem pole and common collector transistor as load


configuration.
C. a CMOS inverter
B. Either totem pole or open collector
configuration D. a BJT inverter
C. Common base configuration
D. Common collector configuration [2004: 1 Mark]
[2003: 1 Marks]
4. The transistors used in a portion of the TTL
gate shown in the figure have a β = 100.
2. The DTL, TTL, ECL and CMOS Tamil GATE The base-emitter voltage of is 0.7 V for a
of digital ICs are compared in the following
transistor in active region and 0.75 V for a
4columns
transistor in saturation. If the sink Current
(P) (Q) (R) (S) I = 1 mA and the output is at logic 0, then
Fanout is DTL DTL TTL CMOS the Current IR will be equal to

Minimum

Power consu TTL CMOS ECL DTL

-mption is minimum.

Propagation CMOS ECL TTL TTL

delay is minimum.

The correct column is

A.P B. Q

C. R D. S

[2003: 2 Marks]

3. Given figure is the voltage transfer A. 0.65 mA B. 0.70 mA


characteristic of
C. 0.75 mA D. 1.00 mA

[2005: 2 Marks]

5. The circuit diagram of a standard TTL NOT


gate is shown in the figure. When Vi =2.5V
the modes of operation of the transistors
will be

63
www.gradeup.co

A. Triple Transistor Logic and Chip Metal


Oxide Semiconductor.

B. Tristate Transistor Logic and Chip Metal


Oxide Semiconductor.

C. Transistor Logic and Complementary


Metal Oxide Semiconductor.

D. Tristate Transistor Logic and


Complementary Metal Oxide Silicon.

[2009: 1 Mark]

7. A standard CMOS inverter is designed with


equal rise and fall times(βn = βp). If the
width of the pMOS transistor in the
A. Q1: reverse active; inverter is increased, what would be the
effect on the LOW noise margin (NML) and
Q2: normal active;
the HIGH noise margin NMH?
Q3: saturation, A. NML increases and NMH decrease
Q4: cut-off B. Both NML and NMH increase
B. Q1: reverse active; C. No change in the noise margins
Q2: saturation; D. NML decreases and NMH increases

Q3: saturation; [2019: 1 Mark]

Q4: cut-off
STATIC CMOS IMPLEMENTATION OF
C. Q1: normal active: LOGIC GATES

Q2: cut-off;
8. The circuit in the figure has two CMOS
Q3: cut-off; NOR-gates. This circuit functions as a

Q4: saturation

D. Q1: saturation;

Q2: saturation;

Q3: saturation;
A. flip-flop.
Q4: normal active
B. Schmitt trigger.
[2007: 2 Marks]
C. monostable multi-vibrator.
6. The full forms of the abbreviations TTL and
D. as table multi-vibrator.
CMOS in reference to logic families are
[2001: 2 Marks]

64
www.gradeup.co

9. Both transistors T1 and T2 shown in the 11. In the circuit shown


figure, have a threshold voltage of 1 Volts.
The device parameters K1 and K2 of T1 and
T2 are, respectively, 36 μ A/V2 and 9 μ
A/V2. The output voltage Vo is

A. Y = AB + C B. Y = (A + B)C

C. Y = (A + B)C D. Y = AB + C

[2012: 1 Mark]
A. 1V
12. The output (Y) ofthe circuit shown in the
B. 2V figure is
C. 3V

D. 4V

[2005: 2 Marks]

10. The logic function implemented by the


following circuit at the terminal OUT is

A. PNORQ A. A + B + C

B. PNANDQ B. A + B.C + A.C

C. PORQ C. A + B + C

D. PANDQ D. A.B.C

[2008: 2 Marks] [2014: 1 Mark, Set-4]

65
www.gradeup.co

13. Transistor geometries in a CMOS inverter 14. The logic functionality realized by the
have been adjusted to meet the circuit shown below is
requirement for worst case charge and
discharge times for driving a load capacitor
C. This design is to be converted to that of
a NOR circuit in the same technology, so
that its worst case charge and discharge
times while driving the same capacitor are
similar, The channel lengths of all
transistors are to be kept unchanged. A. OR B. XOR
Which one of the following statements is C. NAND D. AND
correct?
[2016: 1 Mark, Set-3]
15. For the circuit shown in the figure. P and
Dare the inputs and Y is the output.

The logic implemented by the circuit is


A. XNOR B. XOR
C. NOR D. OR

A. widths of PMOS transistors should be [2017: 1 Mark, Set-2]

doubled, while widths of NMOS transistors 16. The logic f(X, Y) realized by the given
should be halved. circuit is

B. widths of PMOS transistors should be


doubled, while widths of NMOS transistors
should not be changed.

C. widths of PMOS transistors should be


halved, while widths of NMOS transistors
should not be changed.

D. widths of PMOS transistors should be


unchanged, while widths of NMOS
transistors should be halved.
A. NOR B. AND
[2016: 1 Mark, Set-2] C. NAND D. XOR
[2018: 1 Mark]

66
www.gradeup.co

17. In the circuit shown, A and B are the


inputs and F is the output. What is the
functionality of the circuit?

A. XOR B. XNOR

C. Latch D. SRAM cell

[2019: 1Mark]

SEMICONDUCTOR MEMORIES
(SRAM, DRAM, ROM)

18. In the DRAM cell in the figure, the Vt of the


NMOSFET is 1 V. For the following three
combinations of WL and BL voltages.

[2014: 2 Marks, Set-3]

20. In a DRAM,

A. periodic refreshing is not required.

B. information is stored in a capacitor.


A. 5 V; 3 V; 7 V B. 4 V; 3 V: 4 V
C. information is stored in a latch.
C. 5 V; 5 V; 5 V D.4 V; 4 V; 4 V
D. both read and write operations can be
[2001: 2 Marks] performed simultaneously.

19. If WL is the Word Line and BL the Bit Line, [2017: 1 Mark, Set-2]
an SRAM cell is shown in

67
www.gradeup.co

21. A 2 x 2 ROM array is built with the help of B0 B1


diodes as shown in the circuit below Here W0 D00 D01  Bits stored in the ROM Array
 
W0andW1, are signals that select the word W1 D10 D11 
lines and Bo and B1 are signals that are
During the read operation, the selected
output of the sense amps based on the
word line goes high and the other word line
stored data corresponding to the bit lines
is in a high impedance state. As per the
during the read operation.
implementation shown in the circuit
diagram above, what are the bits
corresponding to Dij (where i = 0 or 1 and j
= 0 or 1) stored in the ROM?

1 0 0 1
A.   B.  
0 1 1 0

1 0 1 1
C.   D.  
1 0 0 0

[2018: 2 Marks]

ANSWER

1. B 2.B 3. C 4. C 5. B 6. C 7. B 8. C 9. C 10. D
11. A 12. A 13. B 14. D 15. B 16. D 17. B 18. A 19. B 20. A
21. A

SOLUTION

1. The output of the 74 series GATE of TTL Comparison of Power consumption


gates is taken from a BJT in either totem
ECL < TTL < DTL < CMOS
pole or open collector configuration.
Comparison of propagation delay
2. DTL < TTL < ECL < CMOS
3. Its CMOS invertor voltage transfer
Comparison of Fan-out
characteristic
CMOS < DTL < TTL < ECL

68
www.gradeup.co
4.

For output is at logic 0, V0 = 0 V0H 5 − 0.7 − 0.7


I1 = = 0.72 mA
4 +1
V0=O
and VB2 = 5 – 0.7 – I × 4k
only when Q3(transistor) is in saturation.
= 5 – 0.7 – 0.72 × 4 = 1.42 volts
thenVBE3 =0.75volts
 VB2> 0.7 volts so Q2 operate in saturation
Using KVL in B - E loop of transistor Q3, region.

IR x 1 X 103– VBE3= 0 Because of saturation ofQ2, a voltage drop


across R3
VBE3
 IR =  IR = 0.75 × 10–3 = 75mA VCC 5 5
103 I2 = = = mA = 2.03 mA
R2 + R3 1.4 + 1 2.4
5.
VB3 = (I1+I3)R3 = (0.72 + 2.03) 1k = 2.75
volts

Since VB3> 0.7 volts, so Q3 also operates


insaturation region.

Q3 and Q4 together form a totem pole


Output, onetransistor operate at a time, so
Q4 will be in cut off.

6. TTL - Transistor - transistor logic.

CMOS - Complementary Metal oxide


semiconductor.
When Vin is at high voltage (2V - 5V), 7. B NML = VIL– VOLU = Increase
BEjunctionof Q1 becomes reverse biased
NMH = VOHU - VIH = Increase
and current flowsthrough R1 and BC
junction of Q1 into the base ofQ2. So, Q1  kn 
 2k 
(V + VTP − VTN ) 
− 1  1
operate in reverse active. p
VIH = VTN + DD
 kn   34n 
 − 1  +1 
Because of base current of Q2 it drives 
 kp   kp 
intosaturation because

69
www.gradeup.co
W W When a positive trigger pulse is applied to
kp    , kn   
 L p  L n theinput at time to, the output ofthe first
NOR gateU1 goes low taking with it the left
kp hand plate ofcapacitor C1 there by
Here, pMOS width is increased 1 
kn discharging the capacitor.As both plates of
kn the capacitor are now at logiclevel "0", so
1
kp too is the input to the second NORgate, U2
resulting in an output equal to logic
1  kn   kn   level"1". This then represents the circuits
VOH = 1 +  V1 + VDD −   VTN – VTP 
2  kp   kp   second state,the "Unstable State" with an

output voltage equalto +VSS.
<1
The second NOR gate, U2 will maintain this
Similarly,
second unstable state until the timing
 kn  capacitornow charging up through resistor,
 
(VDD + VTP − VTN )  kp  R reaches theminimum input Threshold
VIL = VTN + 2 − 1  1
 kn  kn voltage ofU2 causingit to change state as a
 +3 
 k − 1  k 
 p   p logic level "1" value hasnow appeared on
 k  k  its input. This causes the outputto be rest
VI 1 + n  − VDD −  n  VTN − VTP
 
kp   kp  to logic "0" which inturn is fed back(feed
VOLU =    <1
 kn  back loop) to one input ofU2. This
2 
 k  actionautomatically returns the monostable
back toits original stable state and awaiting
8. Working of two CMOS is depicted below:
a secondtrigger pulse to restart the timing
process onceagain.

9.

Suppose initially that the trigger input is


LOW at a logic level "0" so that the output
from the first NOR gate U1 is HIGH at logic
level "1".The resistor, R is connected to the
supply voltage so it is also equal to logic
level "1", which means that the capacitor,
C has the same charge on both of its
I01 = I02
plates. Junction P is therefore equal to this
voltage so the output from the second NOR K1 (VG1S1 - Vt)2 = K2(VG2S2 - Vt)2
gate U2 will be low at logic level "0". This  36[(5 –V0) – 1]2 = 9[(V0– 0) – 1]2
then represents the circuits "Stable State"
V0=3V
with zero output.

70
www.gradeup.co
10. The output Out is 1 only when the mode The logic implement by the circuit is AND
(S) is 0,since then the UPPER MOSFET is operation.
shorted andconnected to Vdd.
PMOS is OFF 
15. If P = 1  Y = Q
Node S is zero when P = Q =1 as it shorts NMOS is on 
thenlower MOSFET's
PMOS is ON 
Hence Output logic Y = P AND Q If P = 0  Y = Q
NMOS is OFF 
11. Series combination of N-MOS is equivalent
P Q Y
toANDand parallel combination is
0 0 0
equivalent toOR. 0 1 1
Y = C  (A+ B) = C + A+ B = C + A  B 1 0 1
1 1 0
12.
 Ex – OR gate.

16. From pull-down network,

f(X, Y) = XY + XY = X Y

f(X, Y) = X Y = XY

17. PMOS behaves as ON switch for logic 0.

and NMOS behaves as ON switch for logic


1.

This circuit is CMOS implementation

If the NMOS is connected in series, then


theoutput expression is product of each
input withcomplement to the final product.

So, Y = A.B.C = A + B + C

13. With of PMOS transistor should be halved


whilewidth of N-MOS transistors should not
bechanged, because. A B P1 N1 P2 N2 F
NMOS transistors are in parallel. If any 0 0 0 0 0 0 1
transistoris ON, output goes low. 0 1 1 1 0 0 0

14. The output Y will be logic 1, when A = 1, B 1 0 0 0 1 1 0


1 1 1 1 1 1 1
= 1and B = 0.
So, from the above truth table we can say
 Y = A  B  B = A  B. F=A B.

71
www.gradeup.co
18. (C)VWL = 5V, VBL= 7 Volt

VGS = –2 volt <VT(cut-off)

Then, ID = 0

and Vgs = 0

then capacitor voltage = VBL = 7 volts

19. For an SRAM construction four MOSFETs


are required (2-PMOS and 2-NMOS) with
interchanged outputs connected to each
CMOS inverter. So option (b) is correct.
(A)VWL= 5V, VBL= 5V
20. In a DRAM, data is stored in the form of
For, Vgs = 0V <VT (cut-off), charge on capacitor and periodic refreshing
is needed to restore the charge on
ID = 0
capacitor.
And Vgs = 0,
21. When W0 = VDD, B0 = VDD; otherwise B0 =
the capacitor voltage = VBL = 5V 0.

(B)VWL = 5V, VBL = 3V,  When W1 = VDD, B1 = VDD; otherwise B1


= 0.
VGS = VWL – VBL = 2 volt
So, B0 = W0 and B1 = W1.
VGS> VT,therefore current ID from
throughcapacitor B B1 
W0  0  1 0
  D00 D01  =  
and VC = –( Vgs + IDRD) + VBL W1 0 1
D10 D11  
or VC< VBL



72
Analysis of Previous GATE Papers
6
Chapter
www.gradeup.co

Topic Year / Set Marks Distribution

2019
2018

2020
2015 Set 1
2015 Set 2
2015 Set 3

2017 Set 1
2017 Set 2
2016 Set 1
2016 Set 2
MCQ Type 2016 Set 3
1 Mark
Numerical Type
1

ADC MCQ Type

73
2 Marks
Numerical Type
2

Total 1

MCQ Type
1 Mark
Numerical Type

DAC MCQ Type


Data Converters

2 Marks
Numerical Type
1

Total
www.gradeup.co

ADC The input must settle to an accuracy of ½


LSB even for a full scale input change for
1. An 8 bit successive approximation analog
proper conversion. Assume that the time
to digital converter has full scale reading of
taken by the thermometer to binary
2.55 V and its conversion time for an
encoder is negligible.
analog input of 1V is 20 ps. The conversion
for a 2 V input will be

A.10μs B. 20μs

C. 40 μs D. 50μs

[2000: 1 Marks]

2. The number of comparators in a 4-bit flash


ADC is

A. 4 B. 5

C. 15 D. 16

[2000: 1 Marks]
If the flash ADC has 8 bit resolution, which
3. The number of comparators required in a
one of the following alternatives is closest
3-bit comparator type ADC is
to the maximum sampling rate?
A. 2 B. 3
A. 1 mega samples per second
C. 7 D. 8
B. 6 mega samples per second
[2002: 1 Marks]
C. 64 mega samples per second
4. The minimum number of comparators
D. 256 mega samples per second
required to build an 8-bit flash ADC is
[2016: 2 Marks, Set-2]
A. 8 B. 63
DAC
C. 255 D. 253
6. For the 4-bit DAC shown in the figure, the
[2003: 1 Marks] output voltage V0 is

5. In an N-bit flash ADC, the analog voltage is


fed simultaneously to 2N – 1 comparator.
The output of the comparators is then
encoded to a binary format using digital
circuits. Assume that the analog voltage
source Vin, (whose output is being
converted to digital format) has a source
resistance of 75 Ω as shown in the circuit
diagram below and the input capacitance
of each comparator is 8 pF.

74
www.gradeup.co

A. 10 V B. 5 V
C. 4 V D. 8 V
[2002: 2 Marks]
7. The circuit shown in the figure is a 4-bit
DAC

In the figure shown above, the ground has


been shown by the symbol

The input bits 0 and 1 are represented by


0 and 5 V respectively. The Op-Amp is
ideal, but all the resistances and the 5 V
inputs have a tolerance of ± 10%. The
specification (rounded to the nearest
multiple of 5%) for the tolerance of the
DAC is
A. ± 35%
B. ± 20%
C. ± 10%
[2006: 2 Marks]
D. ± 5%
[2003: 2 Marks]
8. A digital system is required to amplify a Statement for Linked Answer Questions
binary encoded audio signal. The user 10 and 11:
should be able to control the gain of the
amplifier from a minimum to a maximum In the Digital to Analog converter circuit
in 100 increments. The minimum number shown in the figure below. VR = 10 V and R
of bits required to encode, in straight = 10 kΩ
binary, is
A. 8 B. 6
C. 5 D. 7
[2004: 1 Marks]
9. A 4-bit D/A converter is connected to a
free running 3-bit UP counter, as shown in
the following figure. Which of the following
waveforms will be observed at V0?

75
www.gradeup.co

10. The current is 12. The stable reading of the LED displays is

A. 31.25μA B. 62.5μA A. 06 B. 07

C. 125μA D. 250μA C. 12 D. 13

[2007: 2 Marks] [2008: 2 Marks]

11. The voltage V0 is 13. The magnitude of the error between V DAC
A. –0.781 V B. –1.562 V and Vin at steady state in volts is

C. –3.125 V D. –6.250 V A. 0.2 B. 0.3

[2007: 2 Marks] C. 0.5 D. 1.0

Statement for linked Answer Questions 12 [2008: 2 Marks]

and13: 14. Consider a four bit D to A converter. The

In the following circuit, the comparator analog value corresponding to a digital


output is logic “1”, if V1> V2 and is logic “0” signal of values 0000 and 0001 are 0 V
otherwise. The D/A conversion is done as and 0.0625 V respectively. The analog
per the relation value (in Volts) corresponding to the digital
signal 1111 is ____ .
8
VDAC = 2 n–1
bn Volts, where b3 (MSB), b1,
[2015: 1 Marks, Set-1]
n–o

b2 and b0 (LSB) are the counter outputs.


15. A 10-bit D/A converter is calibrated over
The counter starts from the clear state.
full range from 0 to 10 V. If input to D/A
converter is 13A (in hexadecimal number).
Then output voltage is ________ V.

[2020: 1 Marks]

ANSWER

1. B 2. C 3. C 4. C 5. A 6. B 7. A 8. D 9. B 10. B
11. C 12. D 13. B 14.(0.93,0.94) 15.(3,4)

76
www.gradeup.co

SOLUTION

1. Conversion time of successive approximate 6.


ADC depends upon the number of bits
only.

2. Number of comparators

= 2n – 1

= 24 – 1 = 15

3. Number of comparators = 2N – 1 = 23 – 1
=7
Voltage at non-inverting terminal,
4. Minimum number of comparators = 2 N – 1
VR
= 28– 1 = 255 VT = D0 20 + D121 + D2 22 +    + Dn −12n–1 
2n  

5. The total capacitance = (2N – 1)  C VR


= n
0 + 2  1 + 0 + 23  1
2
= (28 – 1)  8pF
5
= volts
= 2.04 nF 8

Here the input capacitance of each Using KCL at inverting terminal, we have
comparator = 8 pF V– – 0 V– – V0
+ =0
1K 7

 V0 = 8V– = 8V+ = 5volts

7. Output voltage,

 R R R R 
V0 = −VR d3  + d2  + d1  + d0 
 R 2R 4R 8R 

R
= –VR  (constant).
R
The time constant =  = RC = 153 ns
Worst case tolerant is
 Settling time (Ts) = 5  = 5 RC = 765 ns
1.1  1.1 
V0   % = 35%
1  0.9 
 Sampling rate =
Settling time (Ts )
8. 27 =128
 1 M samples/sec Hence for 100 increments 7 bits are
Hence, the maximum sampling rate is 1 required

mega sample per second.

77
www.gradeup.co

9. Output voltage,

5I –10  103  5  1  10–3


V0 = –R  =
16 16

= –3.125V

12. Output voltage of DAC,

V0 = 2–1 · b0 + 20 · b1 + 21 · b2 + 22 · b3

On looking the DAC output, option B is = 0.5 b0 + b1 + 2b2 + 4b3.


correct.
Counter output will start from 0000 and
will increase by 1 at every clock pulse.
10.
b3 b2 b1 b0 V0
0 0 0 0 0
0 0 0 1 0.5
0 0 1 0 1
0 0 1 1 1.5
0 1 0 0 2
0 1 0 1 2.5
0 1 1 0 3
0 1 1 1 3.5
1 0 0 0 4
1 0 0 1 4.5
1 0 1 0 5
1 0 1 1 5.5
1 1 0 0 6
VR 10 1 1 0 1 6.5
I= = = 1 mA 1 1 1 0 7
QR 10k
1 1 1 1 7.5

Counter will increase till Vin> V0. So, when


V0 = 6.5 V, the comparator output will be
zero and the counter will be stable at that
reading. The corresponding reading of LED
I 1 display is 13.
i= = mA = 62.5 A.
16 16
13. Magnitude of error between V0 and Vin at
11. Total current in inverting terminal of Op – steady state = 6.5 – 6.2 = 0.3V
Amp,
14. We know that for n bit D/A converter

=
I
+
I
=
4 16 16
5I

V0 = K 2n–1bn–1 + 2n–2 bn–2 +    + 20 b0 

78
www.gradeup.co

For 4 bit D/A converter 15. (13A)16 = (?)10

n=4 = 1×(16)2+3×(16)1+10 ×(16)0


V0 = K 23b3 + 22 b2 + 21b1 + 20b0  {A = 10}

When digital signal is 0001 = 256 + 48 + 10


V0 = 0.0615 = K = 314
2 3 2 1 0
 0 + 2  0 + 2  0 + 2 1  (13A)16 = (314)10
K = 0.0615
Output voltage = Resolution × Decimal
When digital signal is 1111 equivalent
V0= ?
V0 = 0.0615

2 3

 1 + 22  1 + 21  1 + 20  1

= 0.0615 ×15



79
www.gradeup.co

80
www.gradeup.co

1
www.gradeup.co

SYLLABUS

B asic control system components; Feedback principle; Transfer function; Block


diagram representation; Signal flow graph; Transient and steady-state analysis of
LTI systems; Frequency response; Routh-Hurwitz and Nyquist stability criteria; Bode and
root-locus plots; Lag, lead and lag-lead compensation; State variable model and solution of
state equation of LTI systems.

CONTENT
S.No. Chapter Page No.

1. Modeling and Transfer Function ……………………..………………………..……………………. 4-6

➢ Transfer Function of Linear System ………………………………..……………………………….…………… 5


➢ Feedback Principle ………………………………………….……………………...…...………………….................. 5
Answer ………………………………………………………………………………………………………………………. 5
Solution ……………………………………………………………………………………………………………………... 5-6

2. Block Diagram Reduction …………………………………………………………….….………………. 7-15

➢ Block Diagram Algebra ……………………………………………………………………………………………... 8


➢ Signal Flow Graph ………………………………………………………................................................................ 9
Answer ………………………………………………………………………………………………………………………. 10
Solution ……………………………………………………………………………………………………………………... 12-15

3. Time Response Analysis ……………………………………………………………….…………………. 16-30

➢ Type and order of a system ……..………………………………………………………………………...……… 17


➢ Time response of linear systems ………………………………………......................................................... 17
➢ Steady State Errors …………………………………………………………………………………………………… 22
Answer ………………………………………………………………………………………………………………………. 23

Solution ………………………………………………………………………………………………………………….….. 23-30

2
www.gradeup.co

S.No. Chapter Page No.

4. Stability and Root Locus ………………………………………………………………………..………. 31-48

➢ Concept of stability …..…………………………………………………………………………………..………..…. 32

➢ Routh Hurwitz Criterion ……..………………................................................................................................. 32


➢ Root Locus Plot ……………………………………………………………………………………………………..….. 36
Answer …………………………………………………………………………………………………………………..….. 39

Solution ……………………………………………………………………………………………………….…………….. 39-48

5. Frequency Response Analysis .………………………………………………………….…………. 50-71

➢ Frequency Response …...……………………………………………………………….…………………………… 51

➢ Polar, Nyquist & Bode Plot ….………………………....................................................................................... 52


➢ Gain & Phase Margin ……………………………………………………………………………………………..….. 58
Answer ………………………………………………………………………………………………………………………. 60
Solution ………………………………………………………………………………………………………………….... 61-71

6. State Space Analysis ……………………………………………………………………………………. 75-88

➢ State Variable Model of Linear Systems …………………………………………………………...………… 76

➢ Solution of State Equations of LTI Systems ….…………………………………………………...………… 78


➢ Controllability & Observability …………………..…………………………………………………….…………80
Answer ………………………………………………………………………………………………….…………………….81

Solution ………………………………………………………………………………………………………….………... 83-88

7. Compensators & Controllers .………………………………………………………………………. 90-96

➢ Compensators (Lag, Lead, Lag-lead, Lead-lag) …..……………………………..………………………… 91

➢ Controllers (P, PI, PD, PID) ….………………………...................................................................................... 93


Answer ………………………………………………………………………………………………………………………. 93

Solution …………………………………………………………………………..…………………………………….... 94-96

3
1
www.gradeup.co

Modeling and Transfer


Function

Analysis of Previous GATE Papers

2017 Set 1

2017 Set 2

2016 Set 1

2016 Set 2

2016 Set 3

2015 Set 1

2015 Set 2

2015 Set 3
2020

2019

2018
Year →
Topic
Topic ↓

MCQ Type
1 Mark
Numerical Type
Transfer function
MCQ Type
of linear system 2 Marks
Numerical Type
Total
MCQ Type
1 Mark
Numerical Type
Feedback principle MCQ Type
2 Marks
Numerical Type
Total

4
www.gradeup.co

TRANSFER FUNCTION OF LINEAR SYSTEM FEEDBACK PRINCIPLE

1. The unit-step response of a system 3. The open-loop DC gain of a unity negative

starting from rest is given by c(t) = 1 – e– feedback system with closed-loop transfer
2t
for t ≥ 0. s+4
function is
2
1 2 s + 7s + 13
A. B.
1 + 2s 2+s 4 4
A. B.
1 2s 13 9
C. D.
2+s 1 + 2s C. 1 D. 13
[2006: 2 Marks] [2001: 2 Marks]
2. A system with the transfer function 4. Despite the presence of negative feedback,
Y(s) s control systems still have problems of
= has an output
X(s) s + p instability because the
  A. Components used have nonlinearities
y(t) = cos  2t −  , Then, the system
 3  B. Dynamic equations of the systems are
parameter ‘p’ is not known exactly
2 C. Mathematical analysis involves
A. 3 B.
3 approximations

3 D. System has large negative phase angle


C. 1 D.
2 at high frequencies
[2010: 1 Marks] [2005: 1 Marks]

ANSWER

1. B 2. B 3. B 4. A

SOLUTION

1. Input r(t) = u(t) C(s) 2 1


T(s) = = 
R(s) s(s + 2) s
1
R(s) =
s 2
T(s) =
Response e(t) = 1 × e–2tfor all t ≥ 0 (s + 2)

1 1 2 2. Phase difference between input and output


C(s) = − =
s s + 2 s(s + 2) = 30º
Transfer function,

5
www.gradeup.co

C(s) G(s)
=
R(s) 1 + G(s)H(s)

G(s) s+4
⇒ =
2
1 + G(s) s + 7s + 13

1 + G(s) s2 + 7s + 13
⇒ =
Given: ω = 2 rad/s. G(s) s+4
Y(s) s
= 1 s2 + 6s + 9
X(s) s + p ⇒ =
G(s) s+4
 
Y(j) / X(j) = 90º − tan−1   = 30º s+4
P  ⇒ G(s) =
2
s + 6s + 9
2
tan−1   = 60º DC gain will occur at
p
ω=0
2
= 3
p 4
G DC
= .
2 9
P=
3 4. In general, the components used (like
3.
amplifier controllers, etc.) are assumed to

behave linearly. But non-linearities

(saturation) are inherently present which

make the system unstable.

❖❖❖❖

6
2
www.gradeup.co

Block Diagram
Reduction

Analysis of Previous GATE Papers

2017 Set 1

2017 Set 2

2016 Set 1

2016 Set 2

2016 Set 3

2015 Set 1

2015 Set 2

2015 Set 3
2020

2019

2018
Year →
Topic
Topic ↓

MCQ Type 1
1 Mark
Numerical Type 1
Block diagram algebra MCQ Type 1 1
2 Marks
Numerical Type
Total 2 1 1 2
MCQ Type 1
1 Mark
Numerical Type
Signal flow graph MCQ Type
2 Marks
Numerical Type
Total 1

7
www.gradeup.co

BLOCK DIAGRAM ALGEBRA 3. For the following system,

1. The equivalent of the block diagram in the


figure is given as

When X1(s) = 0, the transfer function


Y(s)
is
X2 (s)

s +1 1
A. B.
2 s +1
s
A.
s+2 s +1
C. D.
s (s + 1) s (s + 2)
[2014: 1 Marks, Set-2]
4. Consider the following block diagram in the
B. figure.

C. C (s)
The transfer function is
R(s)
G1G2
A. B. G1G2 + G1 + 1
1 + G1 + G2

D. G1
C. G1G2 + G2 + 1 D.
1 + G1G2

[2014: 1 Marks]
[2001: 1 Marks] 5. By performing cascading and/or summing
2. The transfer function Y(s)/R(s) of the differencing operations using transfer

system shown is function block G1(s) and G2(s), one


CANNOT realize a transfer function of the
form
A. G1(s)G2(s)
G1(s)
B.
G2 (s)

 1 
1 C. G1(s)  + G2 (s) 
A. 0 B.  G1(s) 
s +1
 1 
2 2 D. G1(s)  − G2 (s) 
C. D.
s +1 s+3  G1(s) 

[2010: 1 Marks] [2015: 2 Marks, Set-2]

8
www.gradeup.co

6. The block diagram of a feedback control SIGNAL FLOW GRAPH


system is shown in the figure. The overall
8. The signal flow graph of a system is shown
closed-loop gain G of the system is
C (s)
in the figure. The transfer function is
R(s)

of the system is

G1G2
A. G =
1 + G1H1

G1G2
B. G = 6 6s
1 + G1G2 + G1H1 A. B.
2 2
s + 29s + 6 s + 29s + 6
G1G2
C. G = s(s + 2) s(s + 27)
1 + G1G2H1 C. D.
2 2
s + 29s + 6 s + 29s + 6
G1G2 [2003: 2 Marks]
D. G =
1 + G1G2 + G1G2H1
9. Consider the signal flow graph shown in
[2016: 1 Marks, Set-3]
the figure. The gain x5/x1 is
7. The block diagram of a system is
illustrated in the figure shown, where X(s)
is the input and Y(s) is the output. The
Y(s)
transfer function H(s) = is
X(s)
1 − (be + cf + dg)
A.
abc

bcdg
B.
1 − (be + cf + dg)

abcd
C.
1 − (be + cf + dg) + bedg

1 − (be + cf + dg) + bedg


D.
s2 + 1 abcd
A. H(s) =
2s2 + 1 [2004: 2 Marks]
2
s +1 10. The input-output transfer function of a
B. H(s) = 3
s + 2s2 + s + 1
100
plant H(s) = The plant is placed in
s +1 s(s + 10)2
C. H(s) =
s2 + s + 1
a unitynegative feedback configuration as
2
s +1
D. H(s) = shown in the figure below.
3
s + s2 + s + 1
[2019: 2 Marks]

9
www.gradeup.co

The signal flow graph that DOES NOT


model the plant transfer function H(s)
s +1 s +1
A. B.
2 2
A.
5s + 6s + 2 s + 6s + 2
s +1 1
C. D.
2 2
5s + 4s + 2 5s + 6s + 2
[2013: 2 Marks]

B. 12. For the signal flow graph shown in the

C(s)
figure, the value of is
R(s)

C.

G1G2G3G4
A.
1 − G1G2H1 − G3G4H2 − G2G3H3 + G1G2G3H1H2

D. G1G2G3G4
B.
1 + G1G2H1 + G3G4H2 − G2G3H3 + G1G2G3H1H2

C. 1
[2011: 2 Marks]
1 + G1G2H1 + G3G4H2 + G2G3H3 + G1G2G3H1H2
11. The signal flow graph for a system is given
1
Y(s) D.
below. The transfer function for this 1 − G1G2H1 + G3G4H2 + G2G3H3 + G1G2G3H1H2
U(s)
[2015: 1 Marks, Set-2]
system is

ANSWER

1. D 2. B 3. D 4. C 5. B 6. B 7. B 8. D 9. C 10. D

11. A 12. C

10
www.gradeup.co

11
www.gradeup.co
SOLUTION

1. 2.

1
Y(s) = E(s).
(s + 1)
F = EG1H
E(s)=R(s) – B(s)
 1 
= R(s) −  Y(s) − .E(s)
A.  (s + 1) 
E(s)=R(s) – {Y(s) – Y(s)}
E(s) = R(s)
From equation (i),
F = EH
Y(s)(s+1) = R(s)
Y(s) 1
 =
R(s) (s + 1)
B.
Alternately
Signal flow graph of given system

F = G1G22H

1
P1 = ; = 1
s +1 1
C.
1
L1 = ;  = 1 − {L1 + L2 ]
s +1 1
1
L2 = −
F = EG1G2H s +1
 1 
(1)
Y(s) P11  s + 1  1
 = = =
R(s)  (1) s +1
D. 3. Redrawing the block diagram with
X1(s) = 0

F = EG1H
Hence the right option is (d).

12
www.gradeup.co

Transfer Function, 6. Redrawing the block diagram,


1
Y(s) s s +1
= =
X2 (s) 1 s s (s + 2)
1+ .
s s +1
4. Redrawing the block diagram

G1G2
Y(s) (1 + G1H1 ) G1G2
= =
X(s) G2G1 1 + G1G2 + G1H2
1+
1 + G1H1

7. Given block diagram


C(s)
= (G1 + 1)G2 + 1 = G1G2 + G2 + 1
R(s)
5. In cascade connection: G1(s) + G2(s)
In parallel connection: G1(s) ± G2(s)
Option (a): G1(s).G2(s)

It can be reduced to

Realization is possible
G1(s)
Option (b) :  Realization is not
G2 (s)

possible
(s2 + 1) 1
 1  
Option (c) : G1(s)  + G2 (s) Y(s) s s
H(s) = =
G
 1 (s)  X(s) s2 + 1 S2 + 1
1+ +
⟹ 1 + G1(s).G2(s) s s2

(s2 + 1)
s2
2 2
s + (s + 1) s + s2 + 1
s2
Realization is possible
Hence, the transfer function,
 1 
Option (d) : G1(s)  − G2 (s) Y(s) (s2 + 1)
 G1(s)  H(s) = =
X(s) (s3 + 2s2 + s + 1)
⟹ [1 + G1(s) . G2(s)]
8. Forward path gain(s): P1 = 1.
Individual loop gain(s):
−3 −24 −2
L1 = ,L2 = ,L3 =
s s s

Realization is possible.

13
www.gradeup.co

Gain(s) of pair of non-touching loops Option (b):


6
= L1L2 =
s2
3 24 s + 27
1 = 1 + + =
s s s

C P11
Mason’s Gain formula, =
R 
(s + 27)
C (s + 27) s s
= = Y 100
R  3 24 2   6  1 + 29 + 6 =
1 − − − − + 2 U s (s + 10)2
 s s s s  s s2
Option (c):
C s(s + 27)
 =
2
R s + 29s + 6
9. forward path gain, P1 = abcd
and, as it touches all the three loops,
Δ1 = 1.
Now, Three individual loops with loop gains
P11 = be,
P21 = c f, Y 100
=
P31 = dg, U s (s + 10)2
and combination of two non-touching loops Option (d):
with loop gains products,
P12 =bedg,
P11
Then, T =
1 − P11 − P21 − P31 + P12
Y 100
abcd = .
= 2
U s (s + 100)
1 − (be + cf + dg) + bedg

100 Option (d) is the correct answer.


10. Plant transfer function. H(s) =
s (s + 10)2 11. Forward path gain (s):

Option (a): 1 1 1 1 1
P1 = . = ,P2 = .1 =
s s s2 s s
Individual loop gain (s):
1 2 1 1 2
L1 = (−2) = − ,L2 = . (−2) = −
s s s s s2
Y 100 1 4
= L3 = (−4) = − ,L 4 = (4)  (−1) = −4
U s (s + 10)2 s s

Δ1 1, Δ2 = 2.

14
www.gradeup.co

Using Mason’s gain formula, Gain(s) of pair of non-touching loops:

Y(s) P11 + P2 2 L1L2 = G1G2G3G4H1H2


=
U(s)  Δ1 = 1.
 1 1  From Mason’s gain formula,
 1 + 1 
2 s s +1
= s = C(s) P
1 − 2 − 2 − 4 − 4  5s + 6s + 2
2 = 1 1
  R(s) 
s s2 s
G1G2G3G4
12. Forward path gain(s):
1 − (−G1G2H1 − G2G4H2 − G2G3H3 )
P1 = G1 G2.1.G3 G4 = G1 G2 G3 G4 +G1G2G3G4H1H2
Individual loop gain(s):
c(s) G1G2G3G4
L1 = G1G2H1, L2 = –G3G4H2 =
R(s) 1 + G1G2H1 + G2G4H2
L3 = –G2G3H3 + G2G3H3 + G1G2G3G4H1H2

❖❖❖❖

15
3
www.gradeup.co

Time Response Analysis

Analysis of Previous GATE Papers

2017 Set 1

2017 Set 2

2016 Set 1

2016 Set 2

2016 Set 3

2015 Set 1

2015 Set 2

2015 Set 3
2020

2019

2018
Year →
Topic
Topic ↓

MCQ Type
1 Mark
Numerical Type
Type and order of a system MCQ Type
2 Marks
Numerical Type
Total
MCQ Type
1 Mark
Numerical Type 1 1 1
Time response
MCQ Type 1 1
of linear system 2 Marks
Numerical Type 2 1
Total 2 1 5 3 2
MCQ Type
1 Mark
Numerical Type
Steady-State Errors MCQ Type 1
2 Marks
Numerical Type 2
Total 4 2

16
www.gradeup.co

TYPE OF ORDER OF A SYSTEM 5. A second-order system has the transfer

C(s) 4
1. The transfer function of a plant is function = . With r(t) as the
2
R(s) s + 4s + 4
5
T(s) = . The second order
(s + 5)(s2 + s + 1) unit-step function, the response c(t) of the

approximation of T(s) using dominant pole system is represented by

concept is
1 5
A. B.
(s + 5)(s + 1) (s + 5)(s + 1)

5 1 A.
C. 2
D. 2
s + s +1 s + s +1
[2007: 2 Marks]

TIME RESPONSE OF LINEAR SYSTEMS

2. If the characteristic: equation of a closed-


loop system is s2 + 2s + 2 = 0, then the
system is
B.
A. Overdamped
B. Critically damped
C. Underdamped
D. undamped
[2001: 1 Marks]
3. Consider a system with the transfer
s+6
function G(s) = 2
. Its damping
Ks + s + 6 C.

ratio will he 0.5 when the value of K is


A. 2/6 B. 3
C.1/6 D. 6
[2002: 1 Marks]
4. The transfer function of a system is
100
G(s) = . For a unit-step
(s + 1)(s + 100)
D.
input to the system the approximate
settling time for 2%criterion is
A. 100 sec B. 4 sec
C. 1 sec D. 0.01 sec
[2003: 2 Marks]
[2002 : 2 Marks]

17
www.gradeup.co

18
www.gradeup.co

6. A casual system having the transfer


1
function H(s) = is excited with
s+2

10u(t). The time at which the output A.


reaches 99% of its steady state value is
A. 2.7 sec
B. 2.5 sec
C. 2.3 sec
D. 2.1 sec
[2004: 2 Marks]
B.
7. In the derivation of expression for peak
percent overshoot,

 − 
MP = exp    100 which one of the
 1 − 2 
 
following conditions is NOT required?
A. System is linear and time invariant
C.
B. The system transfer function has a pair
of complex Conjugate poles and no zeroes
C. There is no transportation delay in the
system
D. The system has zero initial conditions
[2005: 2 Marks]
8. A ramp input applied to an unity feedback D.
systemresults in 5% steady State error.
The type number and zero frequency gain
of the system arerespectively
[2008: 1 Marks]
A. System is linear and time invariant
10. Group I lists a set of four transfer
B. 0 and 20
functions. Group II gives a list of possible
C. 0 and 1/20
step responses y(t). Match the step
D. 1 and 1/20
responses with the corresponding transfer
[2005: 2 Marks]
functions.
9. Step responses of a set of three second-
Group I
order underdamped systems all have the
25 26
same percentage overshoot. Which of the P= :Q =
2 2
s + 25 s + 20s + 36
following diagrams represents the poles of
36 36
three systems? R= :T =
s2 + 12s + 36 s2 + 7s + 49

19
www.gradeup.co

Group II 12. The diferential equation

d2 y dy
100 − 20 + y = x(t)
2 dt
dt
1.
describes a system with an input x(t) and

an output y(t). The system, which is

initially relaxed,, is excited by a unit step

input. The output y(t) can he represented


2.
by the waveform

A.
3.

B.
4.

A. P–3, 0–1, R–4, S–2


B. P–3, 0–2, R–4, S–1
C. P–2, 0–1, R–4, S–3
D. P–3, 0–4, R–1, S–2 C.
[2008: 2 Marks]
11. The unit step response of an under-
damped second order system has steady
state value of –2. Which one of the
following transfer functions has these
D.
properties?
−2.24 −3.82
A. 2
B. 2
s + 2.59s + 1.12 s + 1.91s + 1.91
−2.24 −3.82
C. 2
D. 2 [2011: 1 Marks]
s − 2.59s + 1.12 s + 1.91s + 1.91
[2009: 2 Marks]

20
www.gradeup.co

13. A system described by a linear, constant 15. For the following feedback system
coefficient, ordinary, first order differential 1
G(s) = . The 2%–settling time of
equation has an exact solution given by (s + 1)(s + 2)

y(t) for t > 0, when the forcing function is the: step response is required to be less
than 2seconds.
x(t) and the initial condition is y(0). If one
wishes to modify the system so that the
solution becomes —2y(t)for
t > 0, we need to
A. change the initial condition to –y(0) and Which one of the following compensators
C(s) achieves this?
the forcing function to x( t j
 1   0.03 
B. change the initial condition to 2y(0) and A. 3   B. 5  + 1
s + 5  s 
the forcing function to -x(t)
s + 8
C. 2(s + 4) D. 4  
C. change the initial condition to j 2y(0) s + 3
[2014: 2 Marks, Set-1]
and the forcing function to j 2x(t)
16. The natural frequency of an undamped
D. change the initial condition to –2y(0)
second-order system is 40 rad/s. If the
land the forcing function to –2x(t) system is damped with a damping ratio
[2013: 2 Marks] 0.3, the damped natural frequency in radis
14. The open-loop transfer function of a dc is ______.

(s) 10 [2014: 1 Marks, Set-2]


motor is given as = . When
Va(a) 1 + 10s 17. For the second order closed-loop system

connected in feedback as shown fielow, the shown in the figure, the natural frequency
(in rad/s) is
approximate value of Ka that will reduce
the time constant of the closed loop
system by one hundred times as compared
to that of the open-loop system is
A. 16 B. 4
C. 2 D. 1
[2014: 1 Marks, Set-4]
18. A unity negative feedback system has an
K
open-loop transfer function G(s) = .
A. 1 s(s + 10)
B. 5 The gain K for the system to have a
C. 10 damping ratio of 0.25 is ________.
D. 100 [2015 : 1 Marks, Set-2]

[2013: 2 Marks]

21
www.gradeup.co

19. The output of a standard second-order 23. The open loop transfer function
system for a unit step input is given as (s + 1)
G(s) =
P
2   s (s + 2)(s + 3)
y(t) = 1 − e−t cos  3 −  . The transfer
3  6 where p is an integer, is connected in unity
function of the system is feedback configuration as shown in the

2 1 figure.
A. B. 2
(s + 2)(s + 3) s + 2s + 1

3 4
C. 2
D. 2
s + 2s + 3 s + 2s + 4
[2015: 2 Marks, Set-2]
Given that the steady state error is zero for
20. The open-loop transfer function of unity-
unit step input and is 6 for unit ramp input,
feedback control system is given by
the value of the parameter p is ______.
K
G(s) = . For the peak overshoot of [2017: 1 Marks, Set-1]
s(s + 2)
24. Consider a causal second-order system
the closed-loop system to a unit step input
1
to he 10%, the value of K is _______. with the transfer function G(s) =
1 + 2s + s2
[2016: 2 Marks, Set-2]
21. The response of the system with a until-step R(s) = 1 as an input. Let
s
s−2 C(s)be the corresponding output. The time
G(s) = to the unit strp input
(s + 1)(s + 3)
taken by the system output C(t) to reach
u(t) is y(t). The value of dy at t = 0+ is 94% of its steady-state value lim c(t)
dt t →

______. rounded off to two decimal places, is


[2016: 1 Marks, Set-2] A. 5.25 B. 2.81
22. In the feedback system shown below C. 4.50 D. 3.89
1 [2019: 2 Marks]
G(s) =
2
(s + 2s)
STEADY-STATE ERRORS
The step response of the closed-loop
system should have minimum settling time 25. The steady state error of the system
and have no overshoot. shown in the figure for a unit step input is
______.

The required value of gain k to achieve this


is ______.
[2016: 2 Marks, Set-2] [2014: 2 Marks, Set-3]

22
www.gradeup.co

26. For the unity feedback control system


Where and .
shown inthe figure, the open-loop transfer
2 If the steady state error for a unit ramp is
function G(s) is given as G(s) = .
s(s + 1)
0.1, then the value of K is ............ .
The steady state error eSS due to unit step
input is [2020: 2 Marks]

28. A system with transfer

function is

A. 0 B. 0.5
subjected to an input 5 cos 3t. The steady
C. 1.0 D. ∞
[2015: 2 Marks, Set-3] state output of the system
27. Consider the following closed loop control
system is The value of a

is ……

[2020: 2 Marks]

ANSWER

1. D 2. C 3. C 4. B 5. B 6. C 7. C 8. A 9. C 10. D

11. B 12. A 13. D 14. C 15. C 16. 38.16 17. C 18. 400 19. D 20. 2.87

21. 1 22. 1 23. 1 24. C 25. 1 26. A 27. 30 28. 4 29. 2.87

SOLUTION

1. In dominant pole approximation, poles 2. Characteristic equation of a closed-loop


which are near to origin considered. system is s2 + 2s + 2 = 0
5 n = 2 = 0
T(s) =
s 
5  + 1  (s2 + s + 1) 1
5  =  1,
2
1
T(s)  Hence underdamped system
2
s + s +1

23
www.gradeup.co

3. Transfer function, 6. Given : r(t) = 10u(t), H(s) = 1


s+2
s+6 s+6
G(s) = = 10
2  S 6
Ks + s + 6 K  s2 + +  R(s) =
K K s

Output C(s) = H(s).
Comparing with general eq.
10 5 5
(s2
+ 2n.s + n2 )
n = 6 /K
R(s) = = −
s(s + 2) s s + 2

1 c(t) = 5 – 5e–2t = 5(1–e–2t)


2n =
K = 5(1–e–2t) V t > 0.

6 1 Steady – State value of c(t) = 5.


2  0.5  =
K K 5[1–e–2t] = 5 × 0.99

6 1 1–e–2t = 0.99
=
K K2 e–2t = 0.1
1 –2t = In(0.1)
K =
6
t = 2.3 s.
4. Taking dominant pole consideration i.e, 7. Even if there is some transportation delay
neglecting the pole (s) which is too far in the system, various time parameters,
from origin. e.g., tr,ts, td, tp are affected but Mp remains
100 1 same,
G(s) = 
s   s  s +1
 1 + 1 100  100 + 1 8. Given : steady-state error, ess = 5% = 1 .
    20

Now, it is a first-order system with time- We know that steady-state error for ramp
constant, τ = Is. input and unity feedback is finite only
Setting time, ts = 4τ (for ± 2% error) when system type is 1.

ts = 4s Zero frequency gain or velocity error

C(s) 4 coefficient
5. Given =
R(s) s2 + 4s + 4 1 1
K v = lim G(s) = =
s →0 ess 1
Comparing with standard 2nd order transfer
20
function,
Kv = 20.
2n = 4 and n2 = 4  n = 2rad / s − / 1−2
9. Peak oveshoot, Mp = e
4
n = =2
2 1−2
Mp = e− n / n = e−d / d
⟹  = 1 (critically damped system)
Mp = e− tan  ...(1)
4 4
Setling time, ts = = = 2s
n 1  2 Where cos  = 

24
www.gradeup.co

11. Given : c(∞) = (2),   1 (Underdamped)

All options except (d) have steady-state


value of –2. Now, looking for damping.
Option (a) and (c) have damping ratio
more than 1. Option (b)has damping ratio
less than one,

d2 y dy
12. 100 − 20 + y = x(t);x(t) = u(t)
2 dt
dt
1
 X(s) =
s

Taking laplce transform,


From eq. (1), it is clear that peak
100s2Y(s) – 20sY(s) + Y(s) = X(s)
overshoot is same for the systems whose
Y(s) 1 1
pole(s) are lying on the same line with = =
X(s) 100s2 − 20s + 1 (10s − 1)2
slope tan θ.
Transfer functions has two repeated poles
10. Standard transfer function of a second-
 1 1 
order system  s = 10 , 10  at right side of s-plane, so
 

n2 given system is unstable. Hence, option (a)


=
s2 + 2ns + n2 is correct answer.
13. Linear, constant coefficient, ordinary first-
25
P = ; 2n = 0 order differential equation is given as :
s2 = 25
dy(t)
  = 0, n = 5 (undamped) + ky(t) = x(t)
dt

36 Taking laplace transform,


Q= ; n = 6,2n = 20
2
s + 20s + 36 s2Y(s) – y(0) + kY(s) = X(s)

20 X(s) y(0)
= = 1.67 (overdamped) Y(s) = +
26 s+K s+K

36 y(t) = e–kt .x(t) + y(0)e–kt


R = ; n = 6; 2n = 12
s2 + 12s + 36 (taking inverse laplace transform)
If we want –2y(t) as a solution, hothx(t)
12
= = 1 (critically damped)
26 and y(0) has to he multiplied by –2.

36 Hence, change x(t) diagram by –2x(t) and


T= + 49; n = 7; 2n = 7
s2 + 7s y(0) by –2y(0).

7 (s) 10
= = 0.5 (underdamped)] 14. From block diagram, =
27 va(s) 1 + 10s

⟹ (P) → (3), (Q) → (4), (R) → (1), It is a first order system with time constant

(S) → (2) τ = 10s

25
www.gradeup.co

 10 1 2(s + 4)
Given  ' = = = s =
100 100 10 2
s + 5s + 10
10 4 4 42
Ka. 10Ka t 's = = = = 1.6 s  2s
(s) 1 + 10s =
= n 5 5
R(s) 10 (1 + 10Ka ) + 10 s
1 + Ka. 2
1 + 10s
16. Given :ωn = 40 rad/s  = 0.3
(s) 10Ka
=
R(s)  10  Damped natural frequency,
(1 + 10Ka ) 1 + .s
 1 + 10K a  d = n 1 − 2 = 10 1 − 0.92 = 38.16 s
10 1 17. Closed loop transfer function,
' = =
1 + 10Ka 10
4
1 + 10Ka = 100 Y(s) s (s + 4)
=
U(s) 4
Ka = 9.9 ≅ 10 1+ .1
s (s + 4)
15. Given : open-loop transfer function,
4
1 =
2
G(s) = s + 4s + 4
(s + 1)(s + 2)
Comparing with standard equation
Closed-loop transfer function,
 n2 
G(s)  
T(s) =  s2 + 2 s + 2 
 n n 
1 + G(s)

1 / (s + 1)(s + 2) 1 n2 = 4
T(s) = =
2
1 + 1 / (s + 1)(s + 2) s + 3s + 3 ω = 2 rad/s

Comparing with standard transfer function 18. Closed-loop transfer function

  k
n2
equation   G(s) s (s + 10)
 s2 + 2 s + 2  T(s) = =
 n n  1 + G(s) k
1+
s (s + 10)
3
n = = 1.5
2 k
=
2
4 s + 10s + k
Setling time, ts = (for ± 2% error)
n Comparing above with standard transfer
4 function
= = 2.67  2s.
1.5
 n2 
  , we get
Thus, to reduce setting time lower than 2s,  s2 + 2 s + 2 
 n n 
PD controller should he used. Hence option
 n2 = k  n = k
(c) is coirect answer.
Now, C(s) = 2(s + 4)  2n = 10

New, closed loop transfer function 10 10


 n = = = 20
2 2  0.25
C(s)G(s) 2(s + 4)
T '(s) = =
2
1 + C(s)G(s) s + 3s + 2 + 2s + 8 k = n2 = 400.

26
www.gradeup.co

27
www.gradeup.co

19. For unit-step input, output of second-order 2  ωn = 2


system is 2 × 0.59 × ωn = 2
2   ωn = 1.69 r/sec
y(t) = 1 − e−t .cos  3t − 
3  6 
K = n2 = 1.69  1.69
2   
=1− e−t .sin  3t − −  = 2.87 r/sec
3  6 2
Hence the value of K is 2.87 r/sec.
Comparing with standard equation
21. Given the response of the system
e−n t s−2
y(t) = 1 − sin(dt − ) G(s) =
2
1− (s + 1)(s + 3)

1 2  dy 
 = Now L   = (sY(s) − y(0))
1 − 2 3  dt 

3 1
1 s−2
1 − 2 =  2 = Y(s) = G(s)  =
4 4 s s (s + 1)(s + 3)

1 y(0) = Lt sY(s)
 = s →
2
(Applying initial value theorem)
 n = 1  n = 2rad / s.
s−2
Transfer function, T(s) = Lt
s → (s + 1)(s + 3)
n2 4  2
= =
2
s + 2ns + n2 2
s + 2s + 4 1 − s 
=  
 1  3
20. Given the peak overshoot of the closed- s 1 +  1 + 
 s  s
loop system to a unit step input
y(0) = 0
%Mp = 10%
 dy  s  (s − 2)
Mp = 0.1 L  = sY(s) = s (s + 1)(s + 3)
 dt 
1−2
⟹ Mp = e− / s−2
=
(s + 1)(s + 3)
1−2
0.1 = e− /
dy  dy 
= Lt sL
− dt t = 0 s →  dt 
⟹ In(0.1) =
1 − 2
s  (s − 2)
= Lt
− s → (s + 1)(s + 3)
⟹ 2.3 =
1 − 2  2
s  s 1 − 
 s
 = 0.59 =
 1  3
s 1 +  s 1 + 
K  s  s
Also given G(s) =
s (s + 2)
1−0
= =1
CE:- 1 + G(s) = 0 (1 + 0)(1 + 0)
⟹ s2 + 2S + k = 0

28
www.gradeup.co

1 R(s)
22. G(s) = 25. In general, E(s) =
2 1 + G(s)H(s)
s + 2s
K Form block diagram,
Y(s) 2
s + 2s = K
= 4 2
R(s) K s2 + 2s + K G(s) = ,H(s) =
1+ 2 (s + 2) s+4
s + 2s
Minimum setting time and no overshoot 1
Given : R(s) =
s
implies
Steady-state error,
 =1
1
s
n = K s
eSS = lim sE(s) = lim
s →0 s →0 4 2
2    n = 2 1+ .
s+2 s+4
ωn = 1 1
= =1
⟹ K = 1 or K = 1 4 2
1+ .
2 4
Hence, the required value of gain K to
1 2
achieve this is 1. 26. Given: R(s) = , G(s) = , H(s) = 1
s s (s + 1)
23. We know that with unity feedback and step
R(s)
input to a type-1 system, steady-state Error, E(s) =
1 + G(s).H(s)
error is zero andalso for ramp input is
1
 1  s s +1
finite   and for parabolic input is = .1 =
2 2
s +s+2
 kv  1+
s (s + 1)
infinity.
Steady-state error, eSS = lim s.E(s)
Hence, the given system must be type-1 s →0

(p = 1). s (s + 1) 0
lim = = 0.
s →0 s2 +s+2 2
1
24. Given, G(s) =
2
1 + 2s + s
1
 C(s) = G(s)R(s) =
s (s + 1)2 27.

Taking increase Laplace transform,


C(t) = 1 –e–1 – te–1
Now checking from options,
It is type ‘1’ system
From Option (A)
Steady state error for unit ramp input
0.94 = 1 –e5.28 – 5.25 e–5.25 = 0.89
Option A is wrong
From options (C)
∴ 0.94 = 1 –e4.50 – 4.50 e–4.50 = 0.94

29
www.gradeup.co

Where

According to question, ess = 0.1

28. a2 + 9 = 25

a2 = 16

a=4

Where M = |G(jω)|ω=ωo ∵a>0

❖❖❖❖

30
4
www.gradeup.co

Stability & Root Locus

Analysis of Previous GATE Papers

2017 Set 1

2017 Set 2

2016 Set 1

2016 Set 2

2016 Set 3

2015 Set 1

2015 Set 2

2015 Set 3
2020

2019

2018
Year →
Topic
Topic ↓

MCQ Type
1 Mark
Numerical Type
Concept of stability MCQ Type
2 Marks
Numerical Type
Total
MCQ Type 1
1 Mark
Numerical Type 1
Routh-Hurwitz Criterion MCQ Type 1 1
2 Marks
Numerical Type 1 1 1 1
Total 1 2 2 3 2 2 2
MCQ Type
1 Mark
Numerical Type 1
Root locus plot MCQ Type 1
2 Marks
Numerical Type 1 1 1 1
Total 2 2 2 3 2

31
www.gradeup.co

CONCEPT OF STABILITY A. for all K ≥ 0 B. only is K ≥ 0


C. only if 0 ≤ k < 1 D. only if 0 ≤ K ≤ 2
1. If the closed-loop transfer function of a
[2001: 2 Marks]
Control system is given as
5. The system shown in the figure remains
s−5
T(s) = , then it is
(s + 2)(s + 3) stable When

A. an unstable system
B. an uncontrollable system
C. a minimum phase system
D. a non-minimum phase system
[2007: 1 Marks] A. K < –1 B. –1 < K < 1
C. 1 < K < 3 D. K < –3
ROUTH-HURWITZ CRITERION
[2002: 2 Marks]
2. An amplifier with resistive negative 6. The characteristic polynomial of a system
feedback has two left half plane poles in its is q(s) – 2s5 + S4 + 4s3 + 2s2 + 2s + 1.
open-loop transfer function. The amplifier
The system is
A. will always be unstable at high
A. stable B. marginally stable
frequency
C. unstable D. oscillatory
B. will be stable for all frequency
[2002: 2 Marks]
C. may be unstable, depending on the
7. The open-loop transfer function of a unity
feedback factor
feedback system is
D. will oscillate at low frequency
[2000: 1 Marks] K
G(s) =
2
s(s + s + 2)(s + 3)
3. A system described by the transfer
1 The range of K tor which the System is
function H(s) = is stable.
s2 + s2 + Ks + 3 stable is
The constraints on a and K are, 21
A. K 0 B. 13 > K > 0
A. α > 0, αK < 3 4

B. α > 0, αK > 3 21
C. K  D. –6 < K < ∞
C. α < 0, αK > 3 4

D. α < 0, αK < 3 [2004: 2 Marks]

[2000: 2 Marks] 8. For the polynomial


4. The feedback control system in the figure P(s) = s5 + s4 + 2s3 + 2s2 + 3s + 15, the
is stable number of roots which lie in the right half
of the s-plane is
A. 4 B. 2
C. 3 D. 1
[2004: 2 Marks]

32
www.gradeup.co

9. The positive values of "K" and "a" so that 11. The number of open right half plane poles
the system shown in the figure below 10
of G(s) = is
oscillates at a frequency of 2 rad/sec
5 4
s + 2s + 3s3 + 6s2 + 5s + 3
respectively are A. 0 B. 1
C. 2 D. 3
[2008: 2 Marks]
12. The feedback system shown below
A. 1, 0.75 oscillates at 2 rad/s when
B. 2, 0.75
C. 1, 1
D. 2, 2
[2006: 2 Marks] A. K = 2 and a = 0.75

Common Data for Questions 10 & 11: B. K = 3 and a = 0.75

Consider a unity-gain feedback control system C. K = 4 and a = 0.5

whose open-loop transfer function is D. K = 2 and a = 0.5

as + 1 [2012: 2 Marks]
G(s) =
s2 13. The forward path transfer function of a
10. A certain system has transfer function unity negative feedback system is given by

s+8 K
G(s) = , where a is a parameter. G(s) = ,
2
s + s − 4 (s + 2)(s − 1)

Consider the standard negative unity The value of K which will place both the
feedback configuration as shown below. poles of the closed-loop system at the
same location, is ______.
[2014: 1 Marks, Set-1]
14. Consider a transfer function

ps2 + 3ps − 2
Gp (s) =
Which of the following statements is truer s2 + (3 + p) s + (2 − p)
A. The closed loop system is never stable
with p a positive real parameter. The
for any value of a
maximum value of p until which Gp
B. For some positive values of a, the closed
remains stable is ______.
loop system is stable, hut not for all
[2014: 2 Marks, set-4]
positive values
15. A plant transfer function is given as
C. For all positive values of a, the closed
 K  1
loop system is stable G(s) =  kP + 1 
 s  s (s + 2)
D. The closed loop system is stable for all
When the plant operates in a unity
values of a, both positive and negative
feedback configuration, the condition for
[2008: 2 Marks]
the stability of the closed loop system

33
www.gradeup.co

K1 19. The first two rows in the Routh table for


A. K p  0
2 the characteristic equation of a certain
B. 2K1> KP> 0 closed-loop control system are given as.
C. 2K1< KP The range of K for which the system is
D. 2K1> KP stable is
[2015: 2 Marks, Set-1]
16. The characteristic equation of an LTI
system is given by
F(s) = s5 + 2s4 + 3s3 + 6s2 -4s–8. The
A.–2.0 < K < 0.5 B. 0 < K < 0.5
numberof roots that lie strictly in the left
C. 0 < K < ∞ D. 0.5 < K< ∞
half s-planeis _______.
[2016: 2 Marks, Set-3]
[2015: 2 Marks, Set-3]
20. Which one of the following options
17. Match the inferences X, Y and Z about a
correctly describes the locations of the
system, to the corresponding properties of
roots of the equation s4 + s2 + 1 = 0 on
the elements of first column in Routh's
the complex plane?
Table of the system characteristic
A. Four left half plane (LHP) roots.
equation.
B. One right half plane (RHP) root, one LHP
List-I
root and two roots on the imaginary axis.
X. The system is stable…
C. Two RHP roots and two LHP roots.
Y. The system is unstable…
D. All four roots are on the imaginary axis.
Z. The test breaks down ..
[2017: 2 Marks, Set-1]
List -II
21. A unity feedback control system is
P.... when all elements are positive
characterized by the Open-loop transfer
Q....when any one element is zero
function
R....when there is a change in sign of
2(s + 1)
coefficients G(s) =
3
s + Ks2 + 2s + 1
A. X – P; Y – Q; Z – R
The value of K for which the system
B. X – C; Y – P; Z – R
oscillates at 2 rad/s is
C. X – R; Y – Q; Z – P
[2017: 2 Marks, Set-2]
D. X – P; Y – R; Z – Q
22. The loop transfer function of a negative
[2016: 1 Marks, Set-1]
feedback system is
18. The transfer function of a linear time
invariant system is given by
H(s) = 2s4 – 5s3 + 5s – 2
The number of zeroes in the right half of The value of K, for which the system is

the s-plane is ____. marginally stable, is ……..

[2016 : 2 Marks, Set-1] [2020: 1 Marks]

34
www.gradeup.co

35
www.gradeup.co

ROOT LOCUS PLOT 25. Which of the following points is NOT on the
root locus of a system with the open-loop
23. The characteristic equation of a unity
K
negative feedback system is 1 + KG(s) = transfer function G(s)H(s) = ?
s (s + 1)(s + 3)
0. The open loop transfer function G(s) has
A. s = − j 3 B. s = –1.5
one pole at 0 and two poles at –1. The root
locus of the system for varying K is shown C. s = –3 D. s = –∞

in the figure. [2002: 1 Marks]


26. The root locus of the system
K
G(s)H(s) = ,
s (s + 2)(s + 3)
has the break-away point located at
A. (–0.5, 0) B. (–2.548, 0)
C. (–4, 0) D. (–0.784, 0)
[2003: 2 Marks]
K
27.Given G(s)H(s) = , the point of
s (s + 1)(s + 3)
intersection of the asymptotes of the root
The constant damping ratio line, for loci with the real axis is
 = 0.5, intersects the root locus at point A. A. –4 B. 1.33
The distance from the origin to point A is C. –1.33 D. 4
given as 0.5. The value of K at point A is [2004: 1 Marks]
________. 28. An unity feedback system is given as.
[2014: 2 Marks] K(1 − s)
G(s) = .
24. The root-locus diagram for a closed-loop s (s + 3)

feedback system is shown in the figure. Indicate the correct root locus diagram
The system is overdamped

A.

A. only if 0 ≤ K ≤ 1
B. only if 1 < K < 5
B.
C. only if K > 5
D. if 0 ≤ K < 1 or K > 5
[2001: 1 Marks]

36
www.gradeup.co

A.  2 and 0º B.  2 and 45º

C.  3 and 0º D.  3 and 45º

C. [2009: 2 Marks]
31. The root locus plot for a system is given
below. The open loop transfer function
corresponding to this plot is given by

D.

[2005: 2 Marks]
29. A unity feedback control system has an
open loop transfer function s (s + 1)
A. G(s)H(s) = K
K (s + 2)(s + 3)
G(s) = .
2
s (s + 7s + 12) (s + 1)
B. G(s)H(s) = K
The gain K for which s = –1 + j1 will lie on (s + 2)(s + 3)2

the root locus of this system is 1


C. G(s)H(s) = K
A. 4 B. 5.5 s(s − 1)(s + 2)(s + 3)

C. 6.5 D. 10 (s + 1)
D. G(s)H(s) = K
s (s + 2)(s + 3)
[2007: 2 Marks]
30. The feedback configuration and the pole- [2011: 1 Marks]
32. In the root locus plot shown in the figure,
s2 − 2s + 2
zero location of G(s) = are
s2 + 2s + 2 the pole/ zero marks and the arrows have

shown below. The root locus for Negative been removed. Which one of the following

values of K, i.e., for –∞ < K < 0, has transfer functions has this root locus?

breakaway/break-in points and angle of


departure at pole P (with respect to the
positive real axis) equal to

37
www.gradeup.co

s +1 The value of K at the breakaway point of


A.
(s + 2)(s + 4)(s + 7) the feedback control system's root-locus
s+4 plot is _______.
B.
(s + 1)(s + 2)(s + 7) [2016: 2 Marks, Set-1]
s+7 37. The forward-path transfer function and the
C.
(s + 1)(s + 2)(s + 4) feedback-path transfer function of a single
(s + 1)(s + 2) loop negative feedback control system are
D.
(s + 7)(s + 4)
given as
[2014: 2 Marks, Set-3] K(s + 2)
G(s) = and H(s) = 1,
33. A unity negative feedback system has the 2
s + 2s + 5
open-looptransfer function respectively. If the variable parameter Kis
K real positive, then the location of the
G(s) = . The value of the gain
(s + 1)(s + 3)
breakaway point on the root locus diagram
K(>0 ) at which the root locus crosses the of the system is ________.
imaginary axis is ______. [2016: 2 Marks, Set-3]
[2015: 1 Marks, Set-1] 38. A linear time invariant (LTD system with
34. The open-loop transfer function of a unity the transfer function
feedback configuration is given as
K(s2 + 2s + 2)
G(s) =
K(s + 4) (s2 + 3s + 2)
G(s) = . The: value of a gain
2
(s + 8)((s + 9)
is connected in unity feedback
K (>0) for which –1 + j2 lies on the root
configuration as shown in the figure.
locus is ________.
[2015: 2 Marks, Set-1]
35. For the system shown in figure, s = –2.75
lies on the root locus if K is _______.

For the closed loop system shewn, the root


locus for 0 < K < oo intersects the
imaginary axis for K = 1.5. The closed loop
system is stable for

[2015: 2 Marks, Set-3] A. K > 1.5

36. The open-loop transfer function of a unity- B. 1 < K < 1.5

feedback control system is C. 0 < K < 1.5

K D. no positive value of K
G(s) = .
s2 + 5s + 5 [2017: 2 Marks, Set-1]

38
www.gradeup.co

ANSWER

1. D 2. B 3. B 4. C 5. D 6. C 7. A 8. B 9. B 10. D

11. C 12. A 13. 2.25 14. 2 15. A 16. 2 17. D 18. 3 19. D 20. C

21. 0.75 22. 1.60 23. 0.37 24. D 25. B 26. D 27. C 28. C 29. D 30. B

31. B 32. B,C 33. 12 34. 25.24 35. 0.3 36. 1.25 37. –3.41 38. A

SOLUTION

1. A minimum phase system is one which do 4. Characteristic equation is


not have any poles or zeros on right half s- ⟹(k+1) s2 + s (4 – 4K) + 4 + 4K = 0
plane where T(s) has one zero, s = 5 at Routh array drawn below.
right half s-place, so it is non-minimum
phase system
2. Given: H(s)= I, order of G(s) H(s) = 2.
Since, poles of open loop transfer function
G(s) H(s) lies in left half plane. The poles
of 1 + G(s).H(s) will also lie in left half s2 K + 1 4(1 + K)
s 4(1 − K) 0
plane and the closed loop system will be
stable. For stability, co-efficients in the first
3. Transfer function, column is greater than zero, i.e. + ve
 Pk k 1 K+1>0
H(s) = =
(s) 2 2
s  s + ks + 3 1 > K and K ≥ 0.
Characteristics Eq.: Or 0 ≤ K < 1
Δ(s) = s + αs + ks + 3
3 2
5.
Using Routh – Hurwitz criterion,

s3 1 k
5 3
s2
 k − 3
s1

s0 3
For a system to be stable, all elements of
first column should be positive, i.e.,
k − 3
  0 and 0

α k –3 > 0
αk>3

39
www.gradeup.co

Transfer function,
Y(s) k k
= =
R(s) s − 3 − k s − (3 + k)
For a stable system, its pole must lie in left
half of s-plane,
3+K<0
q(s) = (s2 + l)2.(2s + 1)
K < –3.
q(s) has double poles on imaginary axis,
6. Characteristic polynomial:
hence, the system is unstable.
q(s) = 2s5 + s4 + 4s3 + 2s2 + 2s + 1
7. The characteristic equation is,
Using Routh-Hurwitz criterion,
1 + G(s) = 0
s5
or s4 + 4s3 + 5s2 + 6s
s4 3 4 2
1 2 1 +K=0
s3
0 0 0 Constructing Routh – Hurwitz array, we
s2 1 1
have
s1 0 0
s0 s4 1 5 K
3
s 4 6 0
Since, all the entries of s3 row are zero it
7
means characteristic polynomial is s2 K
2
completely divisible by auxiliary 2
s1 (21 − 4K) 0 0
polynomial. 7
for Auxilliary equation : s0 K
d 4 For the system to be stable, we have,
(s + 2s2 + 1) = 0
ds
21 – 4 K >0 and K > 0
4s3 + 4s = 0
21
s(s2 + 1) = 0 Combining on we get,  K  0.
4
s = ± j, 0 8. Constructing Routh array,

s5 1 2 3
4
s 1 2 15
s3  −12 0
2  +12
s2 15 0

−12(2  +12)
s1 − 15  0 0

2  +12
Again for Auxilliary eq. s0 15

d 2
(s + 1) = 0 Here ϵ is small positive quantity
ds
Now, as number of sign changed are 2.
2s = 0
Therefore number of roots in RHS-plane is
also 2.

40
www.gradeup.co

k(s + 1) Therefore, all positive values of α, system


9. Given: G(s) = , H(s) = 1 .
3 2
s + as + 2s + 1 is stable.
Characteristic equation: Hence two sign changes, so two positive
Δ(s) = 1 + G(s)⋅H(s) = 1. poles in RHS plane
s3 + as2 + (k + 2)s + (k + 1) = 0 11. 1 + G(s) = 0
Using Rough-Hurwitz criterion, or s5 + 2s4 + 3s3 + 6s2 + 5s + 13 = 0
s3 1 2+k Constructing Routh -array, we have
2
s a k +1
a(2 + k) − (k + 1)
s1 0
a
s0 k +1
For system to oscillate at ω = 2 rad/s one
row elements of Routh array should be
zero, i.e.,
a(2 + k) − (k + 1)
=0
a
k +1
a=
k +2 6 + 3
As → 0+ lim   = +ve
Auxillary equation: +
→0  
as2 + k + 1 = 0; Hence two sign changes, so positive poles
Putting s = jω⇒ s = j2
in RHS plane.
–4 + k + 1 = 0
k(s + 1)
k +1 12. Given: G(s) = , H(s) = 1.
a= s + as2 + 2s + 1
3
4
Characteristic equation Δ(s) = 1 + G(s)
k +1 k +1
 = H(s) = 0
4 K+2
⇒k=4–2=2 k(s + 1)
1+ =0
⇒ k = 4 – 2 = 2 ⇒ a = 0.75
2
s + as2 + 2s + 1

10. Closed loop transfer function s3 + as2 + (k + 2) s +(k + 1) = 0

G(s) s+8 Using Routh-Hurwitz Crietrion,


= =
2
1 + a(s) s +  s − 4 + s + 8
s3 1 2+k
s+8 6K 1+k
=
2
s2
s + ( + 1)s + 4 a(2 + k) − (K + 1)
s1 0
a
For closed loop system to be stable,
s0 1+k
coefficients of characteristic polynomial
For system to oscillate at ω = 2 rad/s.
(s2 + (α + 1)s + 4) must be positive, i.e.,
One row elements should he zero, i.e.,
α + 1 > 0.
a(2 + k) − (1 + k)
α > – 1. =0
a

41
www.gradeup.co

1+k For marginally stable,


a=
2+k 2–pmax = 0
⟹ as2 + (1 + k) = 0; putting s = j2 pmax = 2
–4a + ( 1+ k) = 0 15. Given:
1+k
a=  k  1 k
4 G(s) =  kp + 1  . = ,
s s(s + 2) 2
  s (s + 2)
⟹ k = 4 – 2 = 2 and a = 0.75.
H(s) = 1.
k
13. Given: G(s) = , H(s) = 1.
(s + 2)(s − 1) Characteristic equation : Δ(s) =1 + G(s)

Characteristic equation : 1 + G(s) H(s) = 0 H(s) = 1.

k s2(s+ 2) + ki + skp = 0
1+ .1 = 0
(s + 2) (s − 1) s3 + 2s2 + skp + ki = 0
∴ s2 + s (k – 2) = 0 Using Routh-Hurwitz Criterion,
Given system is a 2nd order system. Both 1 kp
s3
poles lie at the same location when 2 ki
s2
damping ratio () is unity, i.e., critically 2kp − ki
s1
2
damping. s0 kp
Now, n2 = (k − 2)
For system to be stable, all the first
2n = 1
column elements should he positive.
1 2kp − ki
2 × 1 × ωn = 1  n2 =
4  0 and kp> 0
2
1
k −2 = 2kp – ki> 0
4
ki
⟹ k = 2.25. kp  = 0.
2
14. Transfer function,
16. Using Routh-Hurwitz Criterion,
ps2 + 3ps − 2
GP (s) = and p > 0. s5
s2 + (3 + p) s + (2 − p) 1 3 −4
s4 2 6 −8 −4
Characteristic equation :
s3 04 06 0
Δ(s) = s2 + (3 + p)s + (2 – p) = 0
s2 3 / 2 −4
Using Routh-Hurwitz Criterioon. 25 / 3
s1
s2
1 (2 − p) −4
s0
1
s 3+p 0
One entire row is zero.
s0 2 − p
Auxillary eq. s4 + 3s2 – 4 = 0
For given system to be stable, all the first d 4
(s + 3s2 − 4) = 0
column elements should he positive, i.e., ds
2–p>0 4s3 + 6s = 0
P<2

42
www.gradeup.co

Total no poles = 5
No. of Poles on jω – axis = 2.
No. of Poles on R.H. side = 1
No. of Poles on L.H. side = 5 – 2 – 1 = 2. 4k2 + 6k − 4
and 0
17. Forfirst column elements in Routh’s table 2k

⟹When all elements are positive —> the 2k2 + 3k – 2 > 0


(2k – 1) (k + 2) > 0
system is stable
1
⟹When there is a change in sign of K < –2 and k 
2
coefficients
→the system is unstable.
⟹ When any one element is zero →the
test beaksdown.
0.5 < k < ∞
18. Transfer function,
20. Given equation:
H(s) = 2s4 – 5s3 + 5s – 2
q(s) = s4 + s2 + 1 = 0
Using Routh – Hurwitz criterion,
Using Routh-Hurwitz criterion,
4
s
2 0 −2
s3 −5 5
s2 2 −2
s1 0
s0 −2

Auxillary eq. s2 – 1 = 0
d 2
(s − 1) = 0
ds All elements of s3 row are zero.

2s = 0 Auxillary eq. s4 + s2 + 1 = 0
d 4
Number of zeros in right half of s-plane = (s + s2 + 1) = 0
ds
no. of sign change = 3.
4s3 + 2s = 0
19.
2s3 + s = 0
s3 1 2k + 3
No. of roots on R.H of s-plane = no of sign
2 2K 4
s change = 2
1 4k2 + 6k − 1
s 0 No. of roots = order = 4
2k
0
s 4 No. of roots on L.H. of s-plane = 4 – 2 = 2.

For stability, first column elements must 2(s + 1)


21. Given: G(s) = ,
3
s + ks2 + 2s + 1
he positive, i.e.,
2k>0 H(s) = 1.

k>0 Char. e.q. Δ(s) = 1 G(s) H(s) = 0

43
www.gradeup.co

2(s + 1) Characteristic equation :


1+ =0
3 2
s + ks + 2s + 1 Δ(s) = 1 + KG(s) = 0
s3 + ks2 + 4s + 3 = 0 K
K.G(s)H(s) =
Using Routh-Hurwitz criterion, s(s + 1)2

s3 1 4
k 3
s2
4k − 3
s1 0
k
s0 3

For system to oscillate at ω = 2 rad/s,


elements of s’ row should be zero, i.e.,
4k − 3 Where d = n
=0
k
d = n 1 − 2
4k = 3
k = 0.75 1 − 2
tan  =
22. Closed loop characteristic equations 

1 + G(s) H(s) = 0 ⟹ damping ratio,  = cos 

Θ = cos–1 (0.5) = 60º


⟹ OA = ωn = 0.5

s3 + 10s2 + 16s + Ks + 11K = 0 d = 0.5 1 − (0.5)2 = 0.433

s3 + 10s2 + (16 + K)s + 11K = 0 d = n = 0.5  0.5 = 0.25

The root locus cuts the  = 0.5 line at s =

–σ ± jωd = –0.5 ± j0.433. The value of


system gain at this point can be obtained
as :
K G(s)H(s) = 1 at s = –0.5 + j0.433

We get, K = 0.37.

For marginal stable system 24. For 0 < K < 1, and K < 5, both the roots
lie on the negative real axis which
corresponds to overdamped system.
For, K = 1, system Is critically damped.
160 + 10K – 11K = 0
For 1 < K < 5, the system is
K = 160
underdamped.
23. Given: H(s) = 1,  = 0.5, OA = 0.5
25. Poles, P = 3 i.e. (0 –2, –3)
1 Zeroes, Z = 0
and G(s) =
s (s + 1)2
Hence, s = –1.5 does not lie on root locus.

44
www.gradeup.co

k(s − 1)
G(s)H(s) = (Negative gain)
s(s + 3)

26. The characteristic equations is


1 + G(s) H(s) = 0
k
or 1 + =0
s (s + 2)(s + 3)

or k = –(s3 + 5s2 + 6s) Poles, P = 2 i.e. (0, –3)

for Break-away points, Zeros, Z = 1 i.e. (1)


For negative gain system, root locus where
dk
= 0 = −(3s2 + 10s + 6) no. of poles and zeros to the right of the
ds
pole or zero is even.
or 3s2 + 10s + 6 = 0
Only option (C) satisfies above.
or s = –0.784, –2.54
k
The root-locus is drawn below 29. Given: G(s) = , H(s) = 1
2
s (s + 7s + 12)
Characteristic equations:
Δ(s) = 1 + G(s) . H(s) = 0
k
1+ .1 = 0
2
s (s + 7s + 12
s3 + 7s2 + 12s + k = 0
Point s = –1 + j1 lies on root locus,
Since the point S = –2.54, doesn’t lie on –1 + 2j + 1 + 7 – 7j – 12 – j + 2 – j
the root-locus, it can never be breakway – 7j – 7 + 12j + k = 0
point. ∴ k = +10
Hence s = –0.784. s2 − 2s + 2
30. Given G(s) = , H(s) = 1.
m n s2 + 2s + 2
 Pi  Zj Characteristic equations:
t =1 j =1
27. Centroid, (−A ) =
m−n Δ(s) = 1 + G(s) . H(s) = 0
Where m and n are the number of poles k (s2 − 2s + 2
1+ .1 = 0
and zero respectively. s2 + 2s + 2
−4 − 0 s2 + 2s + 2
∴ Centroid = = −1.33 k=
3 s2 − 2s + 2
k (1 − s) k (s − 1) For break points, solving k = 0
28. Given: G(s) = = ; H(s) = 1
s (s + 3) s (s + 3) s

Open loop transfer function:

45
www.gradeup.co

(s2 –2s + 2) (2s + 2) – (s2 + 2s + 2) (2s – From options, –σ1 = –1 and –σ2 = –2 is
2) = 0 correct. We also know that when a root

(s2 –2s + 2) (s + 1) – (s2 + 2s + 2) (s – 1) locus segment lies only on real axis then

=0 starting point will he a real pole. (–σ3 or –

s3 + s2 –2s2 – 2s + 2s + 2 – s3 + s2 – 2s2 σ4)and terminating point (–σ4 or –σ3).


Hence, Combinations of –σ3 and –σ4 are:
+ 2s –2s + 2 = 0
∴ –2s2 + 4 = 0

∴s =  2

If –σ3 is pole→–σ4 will be zero.


Now, considering above drawn conclusions,
possible transfer function(s) are:
s+4 s+7
or
(s + 1)(s + 2)(s + 7) (s + 1)(s + 2)(s + 4)
For angle of departure,
–θ1 –90º + 100º + 135º = 180º k
33. Given: G(s) = , H(s) = 1.
s(s + 1)(s + 3)
–θ1 – 45º
Characteristic equations:
θ1 – 45º
Δ(s) = 1 + G(s) . H(s) = 0
Pole-zero plot for G(s). H(s)
s(s + 1) (s + 3) + k = 0
31. For given plot, root locus exists from – 3 to
s3 + 4s2 + 3s + k =0
–∞, so there must he odd number of poles
Using Routh-Hurwitz criterion,
and zeros.
s3 1 3
There is double pole at s = –3 2
s 4 k
No. of poles, P = 4 i.e. (0, – 2, – 3, – 3) 1 (12 − k) / 4 0
s
No. of zeros, z = 1 i.e. (–1) k
s0
The open-loop transfer function is
In order to cross the i magi nary axis,
k (s + 1)
G(s).H(s) = system should be marginally stable or
s (s + 2)(s + 3)2
elements of s’ row will be zero.
32. We know that root locus laves the real-axis 12 − k
=0
when thereis a hreakway point or two real 4
poles (–σ1and σ2) convergeto each other k = 12
and split symmetrically in s-plane. Here, σ1
and –σ1 are near to origin.

46
www.gradeup.co

34. Given: G(s)H(s) = 1.


s =−2.5
k(s + 4)
G(s) = k
(s + 8)(s2 − 9) =1
(−2.5)2 + 5  (−2.5) + 5
k(s + 4)
= ; H(s) = 1
(s + 8)(s + 3)(s − 3) k = 1.25

For the point s = –1 + j2 to lie on root K = ± 1.25.


locus, then it must satisfy, 37. Given:
G(s)H(s) s =1+2j= 1. k(s + 2)
G(s) =
2
k(−1 + 2j + 4) s + 2s + 2
=1
(−2 + 2j + 8)(−1 + 2j + 3(−1 + 2j − 3) s(s + 2)
= , H(s) = 1; k > 0
(s + 1 + j1)(s + 1 − j1)

k. (−1 + 4)2 + 22 Open loop transfer function,


=1
(−1 + 8)2 + 22 (−1 + 3)2 + 22 . (−1 + 3)2 + 22 k (s + 2)
G(s).H(s) =
(s + 1 + j1)(s + 1 − j1)
k 13 Characteristic equation 1 + G(s) . H(s) = 0
= 1.
53 8 20 s2 + 2s + 2 + k(s+2) = 0
53  8  20 s2 + 2s + 2
k= = 25.24 k=−
13 s+2
s + 3
35. Given: G(s) =   , H(s) = 10.
s + 2
For the point δ = –2.75 to lie on root
locus, then it must satisfy
G(s).H(s) s =−2.75
= 1.

k(s + 3)
 10 =1
(s + 2) s = −2.75

10k (3 − 2.75)2 For breakaway points,


 = 1.
2 dk
(2 − 2.75) =0
ds
0.25  10k
= 1 ⟹ k = 0.3
0.75 (2s + 2) (s+2) – (s2 + 2s + 2) =0

k 2s2 + 6s + 4 – s2 – 2s –2 = 0
36. Given: G(s) = 2 , H(s) = 1.
s + 5a + 5 s2 + 4s + 2 = 0
Char. Eq.: 1 + G(s) H(s) = 0 −4  16 − 8
s1,2 =
s2 + 2s + 5 + k = 0 2
k = –s2 – 2s – 5
s1,2 = −2  2
For break away point, dk/ds = 0
–2s – 5 = 0 ⟹ s = –2.5 s1, 2 = –0.58, –3.41
Magnitude of k at s = –2.5 is given by But δ = –3.41 lie on root locus.

47
www.gradeup.co

k(s2 + 2s + 2) s2 (1 + k) 2(k + 1)
38. Given: G(s) = , H(s) = 1:
2
(s − 3s + 2 1
s (2k − 3) 0
0<k<∞ 0 (2k − 3)(k + 1),2 − 0
s = 2(k + 1)
Characteristic equation : (2k − 3)

1 + G(s) . H(s) = 0
For closed loop system to stable, all
k(s2 + 2s + 2)
1+ =0 elements of first column should be positive
(s2 − 3s + 2

⟹ s2 –3s + 2 + ks2 + k.2s + 2k = 0 i.e.,


(1 + k)s2 + (2k – 3) s + 1(k + 1) = 0 2k–3>0
Using Routh-Hurwitz critrerion,
k > 1.5.

❖❖❖❖

48
www.gradeup.co

49
5
www.gradeup.co

Frequency Response
Analysis

Analysis of Previous GATE Papers

2017 Set 1

2017 Set 2

2016 Set 1

2016 Set 2

2016 Set 3

2015 Set 1

2015 Set 2

2015 Set 3
2020

2019

2018
Year →
Topic
Topic ↓

MCQ Type 1 1 1 1 1 1
1 Mark
Numerical Type 1 1
Frequency response MCQ Type 1 1
2 Marks
Numerical Type 1 1 1 1
Total 3 3 3 2 1 3 1 3 1
MCQ Type 1
1 Mark
Numerical Type
Polar, Nyquist and
MCQ Type 1
Bode plot 2 Marks
Numerical Type
Total 3
MCQ Type
1 Mark
Numerical Type 1
Gain & Phase Margin MCQ Type
2 Marks
Numerical Type 1
Total 2 1

50
www.gradeup.co

FREQUENCY RESPONSE 5. The magnitude of frequency response of an


underdamped second order system is 6 at
1. In the system shown below, x(t) =
10
(sint)u(t). In steady-state, the response 0 rad/sec and peaks to at 5 2
3
y(t) will be
red/sec. The transfer function of the
system is
500 375
A. 2
B. 2
s + 10s + 100 s + 5s + 75
1   1  
A. sin  t −  B. sin  t + 
2  4 2  4 720 1125
C. 2 D. 2
s + 12s + 144 s + 25s + 225
1 −t
C. e sin t D. sint – cost [2006: 2 Marks]
2
6. The transfer function of a mass-spring-
[2006: 1 Marks]
damper system is given by
2. The frequency response of a linear, time
1
G(s) =
invariant system is given by 2
Ms + Bs + K
5 The frequency response data for the
H(f) = .
1 + j10f system are given in the following table.
The step response of the system is ω in rad/s Gj in dB Arg (G (jω)) in deg

A. 5(1– e–5t) u(t) B. 5(1– e–t/5) u(t) 0.01 –18.5 –0.2


1 0.1 –18.5 –1.3
C. 1 (1 − e−5t )u(t) D.
5 (s + 5)(s + 1) 0.2 –18.4 –2.6

[2007: 2 Marks] 1 –16 –16.9


2 –11.4 –89.4
3. A system with transfer function
3 –21.5 –151
(s2 + 9)(s + 2)
G(s) = is excited by 5 –32.8 –167
(s + 1)(s + 3)(s + 4)
10 –45.3 –174.5
sin(ωt). The steady-state output of the
system is zero at The unit step response of the system
A. ω = 1 rad/s B. ω = 2 rad/s approaches a steady state value of
C. ω = 3 rad/s D. ω = 4 rad/s [2015: 2 Marks, Set-2]
[2012: 1 Marks] 7. For a unity feedback control system with

4. A system has poles at 0.01 Hz, 1 Hz and the forward path transfer function

80 Hz; zeros at 5 Hz, 100 Hz and 200 Hz.


K
G(s) = . The peak resonant
s(s + 2)
Theapproximate phase of the system
magnitude M, of the closed-loop frequency
response at20 Hz is
response is 2. The correspondingvalue of
A. –90º B. 0º
the gain K (correct to two decimal places)
C. 90º D. –180º is.
[2004: 2 Marks] [2018: 2 Marks]

51
www.gradeup.co

POLAR, NYQUIST & BODE PLOT 10. The approximate Bode magnitude plot of
aminimum-phase system is shown in the
8. The Nyquist plot for the open-loop transfer
figure. The transfer function of the system
function G(s) of a unity negative feedback
is
system is shown in the figure, if G(s) has
no pole in the right-half of s-plane, the
number of roots of the system
characteristic equation in the right-half of
s-plane is

(s + 0.1)3
A. 108
(s + 10)2 (s + 100)
A. 0 B. 1
C. 2 D. 3 (s + 0.1)3
B. 107
(s + 10)2 (s + 100)
[2001: 1 Marks]
9. In the figure, the Nyquist plot of the open- (s + 0.1)2
C. 108
(s + 10)(s + 100)
loop transfer function G(s) H(s) of a
system is shown. If G(s) H(s) has one (s + 0.1)3
D. 109
(s + 10)(s + 100)2
right-hand pole, the closed-loop system is
[2003: 2 Marks]
11. Consider the Bode magnitude plot shown
in the figure. The transfer function H(s) is

A. always stable
B. unstable with one closed-loop right hand
pole
C. unstable with two closed-loop right hand (s + 10) 10(s + 1)
A. . B. .
poles (s + 1)(s + 100) (s + 10)(s + 100)

D. unstable with three closed-loop right 102 (s + 1) 103 (s + 100)


C. . D. .
hand poles (s + 10)(s + 100) (s + 1)(s + 10)

[2003: 1 Marks] [2004: 2 Marks]

52
www.gradeup.co

12. The polar diagram of a conditionally stable 100


C.
system for open loop gain K = 1 is shown s(s + 1)(s + 20)
in the figure. The open loop transfer 100
D.
function of the System is known to be s(s + 1)(s + 0.05s)
stable. The closed loop system is stable for [2007: 2 Marks]
14. For the asymptotic Bode magnitude plot
shown below, the system transfer function
can be

1
A. K < 5 and < K <−1
2 8
1 A. 10s + 1 B. 100s + 1
B. K < 1 and <K<5 0.1s + 1 0.1s + 1
8 2
C. 100s D. 0.1s + 1
C. K < 1 and 5 < K 10s + 1 10s + 1
8
[2010: 1 Marks]
D. K < 1 and K < 5
8 15. The For the transfer function G(jω) = 5 +

[2005: 2 Marks] jω, the corresponding Nyquist plot for

13. The asymptotic Bode plot of a transfer positive frequency has the form

function is as shown in the figure. The


transfer function G(s) corresponding to this
Bode plot is A.

B.

1
A.
(s + 1)(s + 20)
C.
1
B.
s(s + 1)(s + 20)

53
www.gradeup.co

A. G(s) is an all pass filler


B. G(s) is a strictly proper transfer function
D.
C. G(s) is a stable and minimum-phase
transfer function
D. The closed-loop system is unstable for
sufficiently large and positive K
[2011: 1 Marks]
[2014: 1 Marks, Set-1]
16. The Bode plot of a transfer function G(s) is
18. The bode asymptotic magnitude plot of a
shown in the figure below:
minimum phase system is shown in the
figure.

The gain (201og |G(s)|) is 32 dB and –8


dB at 1 rad/s and 10 rad/s respectively.
It the system is connected in a unity
The phase is negative for all ω Then G(s) is
negative feedback configuration, the
39.8
A. 39.8 B. steady state error of the closed loop
s s2
system, to a unit ramp input, is _______.
32
C. 32 D. [2014: 2 Marks, Set-2]
s s2
19. In a Bode magnitude plot, which one of the
[2013: 1 Marks]
following slopes would be exhibited at high
17. Consider the feedback system shown in
frequencies by a 4th order all-pole system?
the figure. The Nyquist plot of G(s) is also
A.–80 dB/decade B. –40 dB/decade
shown, which one of the following
C.+40 dB/decade D. +80 dB/decade
conclusions is correct?
[2014: 1 Marks, Set-4]
20. The polar plot of the transfer function
10(s + 1)
G(s) = for ω < ∞ will be in the
10

A. First quadrant
B. second quadrant
C. Third quadrant
D. Fourth quadrant
[2015: 1 Marks, Set-1]

54
www.gradeup.co

21. Consider the Bode plot shown in figure, 24. The asymptotic Bode phase plot of
Assume that all the poles and zeros are k
G(s) = with k and p1
real valued. (s + 0.1)(s + 10)(s + p1 )
both positive, is shown below.

The value of fH – fL (in Hz) is ______.


[2015: 1 Marks, Set-3]
22. A closed-loop control system is stable if
the Nyquist plot of the corresponding
open-loop transfer function
The value of p1 is _________.
A. encircles the s-plane point (–1 + j0) in
[2016: 2 Marks, Set-2]
the counterclockwise direction as many
times as the number of right-half s-plane 25. Consider a stable system with transfer

poles. sP + b1sP −1 + ...... + bP


function G(s) = ,
B. encircles the s-plane point (0 + j1) in sq + a1sq −1 + ...... + aq

the clockwise direction as many times as where b1,…,bP and a1,aq, are real Valued
the number of right-half s-plane poles. constants. The slopeof the Bode log
C. encircles the s-plane point (–1 + j0) in magnitude: curve of G(s) converges to –60
the counterclockwise direction as many dB/decade as ω → ∞. A possible pair of
times as the number of left-half s-plane values for p and q is
poles. A. p = 0 and q = 3 B. p = 1 and q = 7
D. encircles the s-plane point (–1 + j0) in C. p = 2 and q = 3 D. p = 3 and q = 5
the counterclockwise direction as many [2017: 1 Marks, Set-1]
times as the number of right-half s-plane 26. The Nyquist plot of the transfer function
zeros.
K
G(s) = , does not encircle
[2016: 1 Marks, Set-1] 2
(s + 2s + 2)(s + 2)
23. The number and direction of encirclements
the point (–1 + j0) for K = 10 hut does
around the point –1 + j0 in the; complex
encircle the point (–1 +j0) for K = 100.
plane by the Nyquist plot of G(s) = 1 − s Then the closed loop system (having unity
4 + 2s
gain feedback)is
is
A. stable for K = 10 and stable for K = 100
A. zero.
B. stable for K = 10 and unstable for
B. one, anti-clockwise.
K = 100
C. one, clockwise.
C. unstable for K = 10 and stable for
D. two, clockwise.
K = 100
[2016: 1 Marks, Set-2]

55
www.gradeup.co

D. unstable for K = 10 and unstable for A. 0 B. 1


K = 100 C. 2 D. 3

[2017: 2 Marks, Set-1] [2017: 2 Marks, Set-2]

27. A unity feedback control system is 28. The Nyquist stability criterion and the
Routh criterion both are powerful analysis
characterized by the open-loop transfer
tools for determining the Stability of
10K(s + 2)
function G(s) = 2 . feedback controllers. Identify which of the
s + 3s2 + 10
following statements is FALSE.
The Nyquist path and the corresponding
A. Both the criteria provide information
Nyquist plot of G(s) are shown in the
relative to the stable gain range of the
figures below. system.
B. The general shape of the Nyquist plot is
readily obtained from the Bode magnitude
plot for all minimum-phase systems.
C. The Routh criterion is not applicable in
the condition of transport lag, which can be
readily handled by the Nyquist criterion,
D. The closed-loop frequency response for
a unity feedback system cannot he
obtained from the Nyquist plot.
[2018: 1 Marks]
29. The figure below shows the Bode
magnitude and phase plots of a stable
transfer function
n0
G(s) =
2 2
s + d2s + dL s + d0

Consider the negative unity feedback


configuration with gain kin the feed
If 0 < K < 1, then the number of poles of forward path. The closed loop is stable for

the closed-loop transfer function that lie in k< k0. The maximum value of k0 is

the right half of the s-plane is [2018: 2 Marks]

56
www.gradeup.co

57
www.gradeup.co

30. For an LTI system, the Bode plot for its A. (-∞, -3)
gain is as illustrated in the figure shown. B. (-2, -1)
The number of system poles Np and the C. (-3, -2)
number of system zeros Nz in the D. (-1, 0)
frequency range 1Hz ≤ f ≤ 10 Hz is 7
[2020: 2 Marks]
33. The pole-zero map of a rational function
G(s) is shown below. When the closed
contour Γ is mapped into the G(s)-plane,
then the mapping encircles.

A. Np = 4, Nz= 2 B. Np = 7, Nz= 4
C. Np= 6, Nz= 3 D. Np= 5, Nz = 2
[2019: 1 Marks]
31. Consider a unity feedback system, as in
the figure shown, with an integral
k
compensator and open-loop transfer
s
A. the origin of the G(s) -plane once in the
1
G(s) = counter-clockwise direction.
s2 + 3s + 2
B. the point -1 + j0 of the G(s)-plane once
Where K > 0. The positive value of K for
in the counter-clockwise direction.
which there are exactly two poles of the
C. the origin of the G(s) -plane once in the
unity feedback system on the jco axis is
clockwise direction.
equal to _______ (rounded off to two
D. the point -1 + j0 of the G(s)-plane once
decimal places).
in the clockwise direction.
[2020: 1 Mark]

GAIN & PHASE MARGIN

[2019: 2 Marks] 34. The gain margin for the system with open-
2(1 + s)
loop transfer function G(s)H(s) = ,
32. The characteristic equation of a system is s2
s3 + 3s2 + (K + 2)s + 3K = 0 is
In the root locus plot for the given system, A. ∞ B. 0
as K varies from 0 to ∞, the break-away or C. 1 D. –∞
break-in point(s) lie within? [2004: 1 Marks]

58
www.gradeup.co

35. The value of "a" so that the system has a Common Data for Questions 40 and 41.
phase-margin equal to π/4 is The open loop transfer function of a unity

approximately equal to feedback system is given by

A. 2.40 B. 1.40 3e−2s


G(s) = .
s(s + 2)
C. 0.84 D. 0.74
40. The gain and phase crossover frequencies
[2006: 2 Marks]
in rad/sec are, respectively
36. With the value of "a" set for phase-margin
A. 0.032 and 1.26
of π/2, the value of unit-impulse response
B. 0.632 and 0.485
of the open-loop system at t 1 second is C. 0.485 and 0.632
equal to D. 1.26 and 0.632
A. 3.40 B. 2.40 [2005: 2 Marks]
C. 1.84 D. 1.74 41. Based on the above results, the gain and

[2006: 2 Marks] phase margins of the system will be

37. The system with the open loop transfer A. –7.09 and 87.5°
B. 7.09 and 87.5°
1
function G(s) = has a gain C. 7.09 dB and –87.5°
s (s2 + s + 1)
D. –7.09 and –87.5º
margin of
[2005: 2 Marks]
A. –6 dB B. 0 dB
42. The open-loop transfer function of a unity-
C. 3.5 dB D. 6 dB gain feedback control system is given by
[2002: 2 Marks] K
G(s) = .
38. The phase margin of a system with the (s + 1)(s + 2)
open-loop transfer function The gain margin of the system in dB is

(1 − s) given by
G(s)H(s) = is
(s + 1)(2 + s) A. 0 B. 1

A. 0º B. 63.4º C.20 D. ∞
[2006: 1 Marks]
C. 90º D. ∞
43. The Nyquist plot of G(jω)H(jω) for a closed
[2002: 1 Marks]
loop control system, passed through (–1,
39. The gain margin and the phase margin of a
j0) point in the GH plane. The gain margin
s of the system in dB is equal to
feedback system with G(s)H(s) =
(s + 100)3
A. infinite
are B. greater than zero
A. 0 dB, 0º B. ∞, ∞ C. less than zero
C. ∞, 0º D. 88.5 dB. D. zero

[2006: 2 Marks] [2008: 2 Marks]

59
www.gradeup.co

Common Data for Question 44 and 45: C. 26 dB


The Nyquist plot of a stable transfer D. 46 dB
function G(s) is shown in the figure. We [2011: 2 Marks]
are interested in the stability of the closed 46. The phase margin in degrees of
loop system in the feedback configuration 10
G(s) = calculated
shown (s + 0.1)(s + 1)(s + 10)
using the asymptotic Bode plot is
[2014: 2 Marks, Set-1]
47. The phase margin (in degrees) of the
10
system G(s) = is ________.
s(s + 10)
44. Which of the following statement is true? [2015: 1 Marks, Set-3]
A. G(s) an all-pass filter 48. In the feedback system shown below
B. G(s) has a zero in the right-half plane 1
G(s) =
C. G(s) is the impedance of a passive (s + 1)(s + 2)(s + 3)
network
D. G(s)is marginally stable
[2009: 2 Marks]
45. The gain margin of the system under
closed loop unity negative feedback is The positive value of k for which the gain
100 margin of the, loop is exactly 0 dB and the
G(s)H(s) =
s (s + 10)2
phase margin of the loop is exactly zero
A. 0 dB degree is
B. 20 dB [2016: 2 Marks, Set-2]

ANSWER

1. A 2. B 3. C 4. A 5. A 6. 0.12 7. 14.92 8. A 9. A 10. A

11. C 12. B 13. D 14. A 15. A 16. B 17. D 18. 0.5 19. A 20. A

21. 8970 22. A 23. A 24. 1 25. A 26. B 27. C 28. D 29. 0.1 30. C

31. 6 32. D 33. C 34. B 35. C 36. C 37. B 38. D 39. B 40. D

41. D 42. D 43. D 44. B 45. C 46. 45° 47. 84.36 48. 60

60
www.gradeup.co

SOLUTION

1 1 1 3. Steady state output of system is


1. H(s) =  H(j) = = − 45º
s +1 j + 1 2 Y(t) = ∣G(jω) ∣sin(ωt+G(jω))

x(t) = sin t; t > 0, ⟹X(jω) = 1/0º for y(t) to be zero.


∣ G(jω) ∣ can be zero.
The response, Y(s) = X(s). H(s)

[convolution] (−2 + 9) 2 − 4
G(j) =
2 + 1 2 + 9 2 + 16
Y(jω) = –(jω).H(jω)
⟹ at ω = 3 rad/sec
1 1
Y(j) = 1 / 0º. − 45º = − 45º ∣ G(jω) ∣ = 0, thus y(t) = 0
2 2
4. Transfer function
1  
Y(t) = sin  t −  t  0. s  s  s 
2  4   5 + 1   100 + 1   200 + 1 
=K   
5  s s  s 
2. Frequency response, H(f) =  .01 + 1   1 + 1   80 + 1 
1 + j10 f    

Putting s = jω = j2πf        
= tan−1   + tan−1   + tan−1  
5  100   200 
5 1
Transfer function, H(s) = =
1 + 5s 1    −1   
s+ − tan−1  −1
 − tan  − tan  80 
5  .01   

1 1 At ω = 20, transfer function.


Step-response = H(s)  =
s  1 1  1 
s + 5  = tan−1(4) + tan−1   + tan−1  
  5  10 
1
1 A B − tan−1(2000) − tan−1 20 − tan−1   = −90º.
= + 4
 1 s 1
s s +  s+
 5 5 10
5. Given Mr = rad/s, r = 5 2 rad/s;
3

1 k=5
A= =5
1 k 10
s+ Mr = =
5 s =0
2 1 − 2 3

1 k 10 1
B= = −5 = =
1 2 1 −  2 3 2
s s =− 1
5
r = n 1 − 22
Step-response
1
 5 2 = n 1 − 2 
5 5  − 
t
4
= − = 5 1 − e 5  t  0.
s 1   ωn = 10 rad/s.
s+  
5
Only option (a) satisfies the conditions.

61
www.gradeup.co

6. Transfer function, N = (No. of anticlockwise encirclement –


C(s) 1 No. of clockwise encirclement)
= G(s) = ; R(s) = 1/s.
R(s) 2
Ms + Bs + K N = (1 – 1) = 0
Steady-state value of unit step response Thus. N = P – Z = 0
s 1 ∴ Zero roots on RH of s-plane.
C() = lim s.R(s)G(s) = lim .
s →0 s →0 Ms2 + Bs + K s 9. The encirclement of point (1, 0) is only
1 once in anti-clockwise direction, then N = 1
C() =
K and as P = 1 therefore N = P – Z = 0
From Table, G(ω ≅ 0) = –18.5 dB and, the system is stable as there are no
1 zeroes in right-hand s-plane.
= –18.5 dB = 10–18.5/20 = 0.12
K
10. From ω = 0.1 to 10, i.e. in twodecade dB
C(∞) = 0.12
change is + 120 dB which infers that there
K
7. Given: G(s) = , H(s) = 1, Mr = 2 are three zeroes at ω = 0.1.
s(s + 2)
Now from ω = 10 to ω = 100, i.e. in one
Closed loop transfer function,
decade dB change is – 20 dB, thus having
K
G(s) (s + 2) s a pole at ω = 10.
T(s) = =
1 + G(s)H(s) K Likewiseat ω = 100, slope changesto 0
1+ .1
s (s + 2)
dB/decade which infers a pole at
K ω = 100.
=
2
s + 2s + K
k(s + 0.1)3
Thus, T(s) =
D.C Gain = T(jω = jo) = 1 (s + 10)2 (s + 100)
DC gain Given, 20 log [T(s)] |ω = 20 = 20 log
 Mr = =2 = 0.1
2
2 1 −  (k × 10–7)

 4 1 − 2 = 1 ork = 108
11. At ω= 1, slope changes from 0 dB/decade
164 − 162 + 1 = 0
to 20 dB/decade, and, atω =10, slope
  = 0.258 changes from20dB/decade to 0 dB/decade
8. Pole in right half P = 0 and at ω = 100, slope changes from
Encirclement of –1 + j0 0 dB/decade to – 20 dB/decade.
Hence, there is a zero at ω=1 and poles at
ω = 10, 100.

k(s + 1)
Now, T(s) =
(s + 10)(s + 100)

k(j + 1)
T(j) =
(j + 10)(j + 100)

62
www.gradeup.co

J(j + 1).10−3 Hence, the condition for stability is


=
 j   j  1 1
 10 + 1   100 + 1  k 
8
and  k  5
2
  

Then, 20 log (10–3 k) = –20 13. From given figure corner frequencies at

or, K = 102 ω1 = 0, ω2 = 1, ω3 = 20

102 (s + 1) k
Hence, T(s) = ∴ G(s) =
(s + 10)(s + 100) s(1 + s1 )(1 + s2 )

12. As the open loop transfer function is 1


∴ 1 = = 1,
2
stable, therefore number of poles in RHS of
s-plane is zero. Now, according to Nyquist 1
2 = = 0.05,
Criteria, the closed-loop system is stable if 3

number of encirclements of (-1 + jω) point k


∴ G(s) =
in anti-clockwise direction is equal to P-Z, s(s + 1)(1 + 0.05s)
where P and Z are the number of poles and From graph | G z(jω) | dB = 60 atω = 0.1
zeros in the RHS of s-plane. Here P = 0, 20 log10| G (jω) | = 60
therefore N = –Z K
 20 log10 = 60
Hence, for the closed-loop system to be j(1 + j (1 + 0.005 j
stable number of encirclements in anti- ⟹ k = 100
clockwise direction should be zero which 100
∴ G(s) =
will make number of zeros in RH-side of s- s(s + 1)(1 + 0.05s)
plane Is zero. 14. at ω = 0.1, slope changes from 0 dB to 20
Now, Drawing Nyquist plot, dB

1
∴ Factor =
 s 
1 + 100 
 

 s 
k 1 +
 0.1  k (10s + 1)
So, T(s) = =
 s  0.1s + 1
1 + 10 
 
At ω = 0.1,
magnitude = 0 dB,
∴ 20 log k = 0
If (–I + j0) point lie between –0.2k and –
⟹k = 1
2k, then number of encirclements is zero.
i.e. 2k> 1 and 0.2k <1. Also, if –8k > –1,
1 + 10 s
∴ T(s) =
1 + 0.1s
then there is no encirclements of (–1 +
j0).

63
www.gradeup.co

64
www.gradeup.co

15. G(j)=0 = 5. G(j) = = 0 s 


k  + 1
2
 G(s)H(s) =  
G(j)= = , G(j) = =  s 
2 s + 1
 10 
Hence, the correct option is (a)
⟹ 26.02 = 20 log k – log(ω) forω = 0.1
16. Change in magnitude from 1 rad/s to 10
20 log k = 26.02 + log (0.1)
rad/s
= 32 – (–8) = 40 dB. k = 1.99 ≅ 2.

Change is magnitude s 
Slope = 2  + 1
Change is frequency 2  = 20(s + 2)
 G(s).H(s) = 
 s  2s (s + 10)
=−
40
= −40 dB / decade
s + 1
1  10 

Initial slope of –40 dB/decade means there 1


Steady-state error for ramp unit eSS =
are two poles at origin. It means either kv
option (b) or option (d) is correct.
s.20(s + 2)
k v = lim S.G(s) lim =2
Given: |G(s) |ω=1 rad/s = 32 dB. s →0 s →0 2(s + 10)
39.8 1
Option (b): 20log 2
= 32 dB eSS = = 0.5
(1) 2

32 19. Slope produced by one pole


Option (c): 20 log = 30.1 dB
2
1 = –20 dB/decade
17. Nyquist plot does not encircle the critical For all system, resultant slope produced by
point (–1,0),
4 poles = –20 × 4 = –80 dB/dec.
So, N = P+ –Z+, N = 0
20. Given: G(s)H(s) = 10(s + 1)
If dc gain is increased to a large value, it s + 10
will lead to instability. Hence, option (d) is
10 2 + 1 
correct.  G(j)H(j) = tan−1() − tant
2
 + 100 10
18. We know that 6.02 dB/octave = 20
For ω = 0, G(j0) H(j0) = 1|0º
dB/decade
For ω = ∞, G(j∞) H(j∞) = 10|0°
Redrawing the Bode plot,

The open loop transfer function of the


system is

65
www.gradeup.co

y2 − y1 and
21. Using slope formula, slope =
x2 − x1 0
∠G(s)|ω=0 = –tan–1 0° − tan−1 = 0
2
40 − 0 40
40 dB/dec = = ats = ∞,
log 300 − log fL  300 
log   1  1 
 fL  s  − 1  s − 1
s
G(s) =   =  
 300  4  4 
log   = 1  fL: = 30Hz. s  + 2  + 2
 fL  s  s 
⟹ –40 dB/decade (0 + 1) 1
= = −0.5
40 − 0 40 (0 + 2) 2
=
log 900 − log fH  900  and ∠ G(s)|ω=∞ = –tan–1 180º – tan–1 90º
log  
 fH  = –180º
Nyquist plot is
 900 
log   = −1
 fH 

fH = 9000 Hz.
⟹fH – fL = 9000 – 30 = 8970 Hz.
22. Here the Nyquist plot of the corresponding
open-loop transfer function is

Hence the number of encirclements of


(–1 + j0) = 0
K
24. G(s) =
(s + 0.1)(s + 10)(s + p1 )
  
G(s) = − tan−1 − tan−1 − tan−1
0.1 10 p1
  
G(s) =1 = − tan−1 − tan−1 − tan−1
0.1 10 p1
N=P–Z
= –135°
For closed loop stability 1
–tan–1 10 –tan–1 0.1 –tan–1 = −135
Z = 0, N = P p1

So, the point (–1, j0) encircles the s-plane 1


–84.28º – 5.72° –tan–1 = −135
p1
is the counter clockwise direction equaling
1
P poles of the right-half s-plane. − tan−1 = –135° + 90°
p1
23. Here the Nyquist plot is
1
1−s tan−1 = 45
G(s) = p1
4 + 2s
1
and s = 0, = 1 ⟹ p1 = 1
p1
1−0 1
G(s) = = = 0.525 Hence, the value of p1 is 1.
4+0 4

66
www.gradeup.co

25. No. of poles = q, No of zeroes = p Closed loop transfer function:


Resultant slope G(s)
T(s) =
= –(q – p) × 20 db/decade 1 + G(s)H(s)
= –60 dB/decade
H(s) = Feedback path transfer function.
q – p = 3.
We can draw its Nyquist plot by simply
Only option (a) satisfies above condition.
26. According to Nyquist stability criterion, putting s= jω and obtain polar co-

N = P+ – Z + ordinates of T(jω) for different values of ω.

where 29. Let G’(s) = K.G(s)


P+ = Open-loop poles in RHS of s-plane For the closed loop system to be stable,
= 0 (given) Gain-margin > 1
Z = closed – loop poles in RHS of s-plane
+
1
1
N = No. of encirclement about the point G'(j) =Pc
(–1, 0)
1
⟹ For k = 10, N = P+ – Z+ = 0 1
G '(j) =pc
⟹ Z+ = 0: Stable system
⟹ For K = 100, N = P+ – Z+ = 1 20 Log K + G(jPc )  0 dB
⟹ Z+ ≠ 0: Unstable system.
20 Log K + 20 dB < 0 dB
27. Given:
20 Log K < –20 dB
10K (s + 2)
G(s) = , H(s) = 1 Log K < –1
s3 + 3s2 + 10
K < 0.1
and 0 < k < 1
Hence, maximum value of K, i.e., K0, for
Applying Nyquist stability criterion for
given plot, N = 0. which system is stable is Kmax = K0 = 0.1

N = P+ – Z + 30. From the given bode plot

From G(s) H(s), No. of open-loop poles is Np = 6, Nz = 3


RHS of s-plane 31. Given, A unit feedback system as shown in
P =2
+
figure
⟹0=2–Z +

Z+ = 2 (closed loop poles in RHS of s-


plane).
28. Open loop transfer function = G(s) H(s)
CE = s(s2 + 3s + 2) + k = 0
Where G(s) = forward path transfer
⟹ s3 + 3s + 5 + k = 0
function,
Routh Hurwitz criteria.

67
www.gradeup.co

For gain margin,

G(jPc ).H(jpc ) = −180º

–180º + tan–1 (ωpc) = –180º


ωpc= 0 rad/s.

∴6–K=0⟹K=6 2 1 + 2
G(s)H(s)  = pc = = .
Let cross check : 3s + 6 = 0
2 2
=pc
⟹s +2=0
2
1
Gain margin = = 0.

dB (G.M) = ∞.

32. s3 + 3s2 + 2s + K(s + 3) = 0 as + 1


35. Given: G(s) = , H(s) =1
s2

Phase margin or PM = .
4

G(j)H(j) = 180º + tan−1(a)

For phase margin,


Compare it with 1 + G(s)H(s) = 0 
180º + tan–1(aωgc) = 180º +
4

agc = tan = 1.
4
For gain cross-over frequency

G(jgc ).H(jgc ) = 1

1 + a2 2gc
= 1 (∴aωgc = 1)
2gc

1+1
=1
2gc

1
Breakaway point is in between (0, –1)
∴ gc = (2)4
33. Given number of closed loop poles 2 in
1
contour and number of closed loop 3 zero a= = 0.84.
1
in contour. P = 2 and Z = 3. So effective 24
number of encirclements to the origin is as + 1 0.84s + 1 
36. G(s) = 2
=
2
for PM = .
once in clockwise direction. s s 4
2 (1 + s) Unit impulse response = L–1 [Open – loop
34. Given: G(s) H(s) = 2
s transfer function]
−1
G(j).H(j) = −180º + tan 

68
www.gradeup.co

 0.84s + 1  s
C(t) = L−1[G(s)H(s) = L−1   39. Given: G(s)H(s) =
 s2  (s + 100)3

 0.84 1  For pc , G(s)H(s) = −180º


= L−1  + 
 s s2 
 pc 
c(t) = 0.84 + t;  t > 0. 90º −3.tan−1  = 180º
 100 
 
At t = 1, c(1) = 1 + 0.84 = 1.84
1  pc 
tan−1  = 90º
37. Given: G(s)H(s) =  100 
s (s2 + s + 1)  

1    ωpc = ∞,
= −90º − tan−1  
 (1 − 2 )2 + 2  1 − 2  ⟹ Hence, gain margin cannot be
determined.
For phase-crossover frequency ωpc,
For ωgc, G(s). H(s) = 1
G(s)H(s) = −180º
gc
−1  =1
−90º − tan = 180º 100 + 2
1 − 2
ωgc< 0.
−1   
− tan   = −90º
 1 − 2  Hence, G.Mand P.M. of the system cannot

 1 be determined.
=
1 − 2 0 3.e−2s
40. Given: G(s) = , H(s) = 1
1 – ω2 = 0 s (s + 2)

ωpc = ω = 1 rad/s Open loop transfer function:

1 3.e− j2
G(s)H(s) =pc
= =1 G(j)H(j) =
(1 − 12 )2 + 12 j (j + 2)

For gain crossover frequency ωgc,


 
1
Gain – margin = 20 log   |G(s) H(s) |= 1.
 GH 
 =pc  31
=1
= –20 log 1 = 0 dB. gc . 2gc + 4
38. For gain-crossover frequency ωgc,
9
G(s).H(s) =1 =1
=gc 2gc (2gc + 4)

1 + 2g 2gc + 42gc − 9 = 0


=1
1 + 2g 4 + 2g
ωgc = 1.26 rad/s.

2gc = −3 (No gain crossover frequency For phase crossover frequency,

exists) pc , GH = −180º

⟹ Phase margin = ∞.

69
www.gradeup.co

 pc  For phase crossover frequencyωpc,


−2pc − 90º − tan−1  = −180º
 2 
  GH(pc ) = −180º

 pc  
2pc + tan−1   =  
 2 − tan−1() − tan−1   = −180º
  2 2

 3  
pc 1  pc 
=  + 2 
2pc + −   −1
 2 3  2   2 tan   = 180º
   1 −  
 2
3
5pc pc 
 − = 
2 24 2 
2 =0
5pc  1 − 2

2 2 ∴ω = 0
ωpc = 0.63 rad/s. Hence, Gain margin is infinite.

41. Gain-margin = –20 log |GH(ω = ωpc)| 43. Given: G(j).H(j) = 1 −180º
= pc

3 Gain-margin = –20 log |GH(ωpc)|


= −20 log
1
= –20 log 1 = 0 dB.
0.63(0.632 + 4)2
44. According to Nyquist stability criterion,
= –20 log |2.27|
N = P+ – Z +
= –7.08 dB
For Nyquist plot, N = –1, P = 0 (given)
Since, gain-margin is negative, system is
z+ = 1.
unstable.
Thus, one open loop zero lies is right half
Phase – margin = 180º + GH( = gc )
of s-plane

  pc  100
−180º −2gc − − tan−1  45. Given G(s).H(s) =
2  2  s (s + 10)2
 

  1.26  100  
= −2  1.26 + − tan−1   = −90º −2 tan−1  
2
2  2  ( + 100)  10 
= –4.65 rad or –86.5º For phase crossover frequency ωpc,
k GH = −180º
42. Given: G(s) = , H(s) = 1.
(s + 1)(s + 2)
Open loop transfer function:  pc 
−90º −2 tan−1  = −180º
 10 
k k  
G(s)H(s) = =
(s + 1)(s + 2) 2
 + 1 2 + 4
 pc 
tan−1  = 45º
 10 
 
 
− tan−1() − tan−1   ⟹ ωpc = 10 rad/s.
2
Gain-margin = –20 log |GH(ωpc)|

70
www.gradeup.co

100 For gain cross-over frequency ωgc,


= −20log
10(102 + 100 |G(jωgc)|= 1.
10
= 20 log 20 =1
. 2gc + 100
= 26 dB.

10 2gc + 1002gc − 100 = 0


46. Given: G(s) =
(s + 0.1)(s + 1)(s + 10)
ωgc = 0.99 rad/s.
10
= Phase – margin = 180º + G(jgc )
 s  s s 
0.1  1  10  + 1  + 1  + 1
 0.1   10  1     0.99  
= 180º +  − − tan−1  
 2  10  
10
G(s)
 s s  s  ≅ 84.364º
 0.1 + 1  1 + 1  10 + 1
    48. 1 + G(s)H(s)
K
=1+ =0
(s + 1)(s + 2)(s + 3)
(s + 1)(s2 + 5s + 6) + K = 0
s2+ 5s2 + 6s + s2 + 5s + 6 + K =0
⟹ s2 + 6s2 + 11s + 6 + K = 0
Gain margin = 0 dB and phase margin= 0º
From Bode plot, It implies marginal stable system
ωgc = 1 rad/s. By Routh Array

Phase – margin = 180º + G(pc ) s3 1 11


2
s 6 (6 + K)
 gc   gc  66 − 6 − K
= 180º − tan−1   − tan−1(gc ) − tan−1 
 0.1   10  s
6
0
   
s0 6+K
= 180º – 84.289º – 45º – 8.711º
For marginal stable system,
= 180º – 135º = 45º
60 – K = 0
47. Given:
⟹ K = 60
10 10
G(s) = = Hence, the positive value of K is 60.
s (s + 10)  2 + 100
 
− − tan−1
2 10

❖❖❖❖

71
www.gradeup.co

72
www.gradeup.co

73
www.gradeup.co

74
6
www.gradeup.co

State Space Analysis

Analysis of Previous GATE Papers

2017 Set 1

2017 Set 2

2016 Set 1

2016 Set 2

2016 Set 3

2015 Set 1

2015 Set 2

2015 Set 3
2020

2019

2018
Year →
Topic
Topic ↓

MCQ Type 1
1 Mark
Numerical Type
State Variable model
MCQ Type 1 1 1
of linear systems 2 Marks
Numerical Type 2 2 2 1
Total
MCQ Type
1 Mark
Numerical Type
Solution of state
MCQ Type
equations of LTI systems 2 Marks
Numerical Type 1 1
Total 2 2
MCQ Type
1 Mark
Numerical Type
Controllability &
MCQ Type 1
Observability 2 Marks
Numerical Type
Total 2

75
www.gradeup.co

STATE VARIABLE MODEL OF LINEAR SYSTEM The set of equations that correspond to
this signal flow graph is:
1. A linear system is equivalently represented
 x1   −  0   x1  1 0 
by two sets of state equations.  
A. d  x2  =        u1 
  0  x2  + 0 0  u 
X’ = AX + BU and W’ = CW + DU dt  
   0 1   2 
 x3   − −  0   x3 
The eigen values of the representations are
 x1  0     x1  0 0
also computed as [λ] and [μ]. Which one  
B. d  x2  = 0      u1 
− −    x2  + 0 1   u 
dt   
of the following statements is true?  −    x3  1 0   2 
 x3  0 −
A. [λ] = [μ] and X=W
 x1   −  0  x1  1 0 
B. [λ] = [μ] and X ≠W  
C. d  x2  =  −      u1 
− 0   x2  + 0 1   u 
dt   
C. [λ] ≠ [μ] and X=W  0   x3  0 0  2 
 x3    
D. [λ] ≠ [μ] and X≠W
 x1   −     x1  0 1 
[2005: 1 Marks]  
D. d  x2  =       u 
−    x2  + 0 0  1 
dt    u
2. The state space representation of a 
 x3   −  −    x3  1 0   2 
separately excited servo motor dynamics is
[2008: 2 Marks]
given as
Common data for Questions 4 and 5:
 d  The signal flow graph of a system is shown
 dt   −1 1     0 
 =    +  u below:
 dia   −1 − 10  ia  10 
 dt 

Where ω is the speed of the motor i a is the


armature current and u is the armature
voltage.
 (s)
The transfer function of the motor is 4. The state variable representation of the
U (s)
system can be
10 1
A. B.  1 1 0
s2 + 11s + 11 s2 + 11s + 11 A. x ' =  x +  u
 −1 0  2 
10s + 10 1
C. 2
D. 2 y = [0 0.5]x
s + 11s + 11 s + s +1
 −1 1 0
[2007: 2 Marks] B. x ' =   x +  u
 −1 0  2 
3. A signal flow graph of a system is given
y = [0 0.5]x
below.
 −1 1  0
C. x ' =  x +  u
 −1 0 2 
y = [0.5 0.5]x
 −1 1  0
D. x ' =   x +  u
 −1 0 2 
y = [0.5 0.5]x
[2010: 2 Marks]

76
www.gradeup.co

5. The transfer function of the system is:  −1 0  −1 


B. x ' =   X +   u,
s +1 s −1  −1 − 1   1
A. 2
B.
s +1 s2 − 1 Y = [–1 –1] X + u

s +1 s −1  −1 0  −1 
C. D. C. x ' =   X +   u,
2
s + s +1 2
s + s +1  −1 − 1   1

[2010: 2 Marks] Y = [–1 –1]X – u

6. The block diagram of a system with one  −1 0  −1 


D. x ' =   X +   u,
 0 − 1   1
input u and two outputs y1 and y2 is given
below. Y = [1 –1] X – u
[2013: 2 marks]
8. The state transition matrix eAt of the
system shown in figure above is:
e−1 0  e−1 0 
A.   B.  
te−t e−t   −te−t e−t 
A state space model of the above system
e − t 0 e − t − te− t 
C.   D.  
in terms of the state vector x and the e− t e− t  0 e− t 
output vector y = [y1 y2]t is:
[2013: 2 Marks]
A. x’ = [2]x + [1] u; y = [1 2]
9. A network is described by the state model
B. x’ = [–2]x + [1] u; y = [1 2] x
as
 −2 0  1 
C. x ' =  x +   u; y = [1 2]x X1 = 2x1 – x2 + 3u,
 0 − 2 2
X2 = – 4x2 – u,
 2 0  1  1  Y = 3x1 – 2x2
D. x ' =  x +   u; y =   x
 0 − 2 2
  2 
 Y (s) 
The transfer function H(s) = 
 U ( s ) 
[2011: 2 Marks] is:
 
Statement for linked answer questions
11s + 35 11s + 35
7 and 8: A. B.
(s − 2) (s + 4) (s − 2) (s + 4)
X(t) = AX + Bu , y = CX + Du.
11s + 38 11s + 38
C. D.
(s − 2) (s + 4) (s − 2) (s + 4)
[2015: 1 Marks, Set-3]
10. A second order LTI system is described by
the following state equations:
7. The state -variable equations of the d
x ( t ) − x2 ( t ) = 0
system in the figure above are dt 1

 −1 0   −1  d
A. x ' =  x ( t ) + 2x1 ( t ) + 3x2 ( t ) = r ( t ) ;
 X +   u, dt 2
 1 − 1  1

Y = [1 – 1] X + u

77
www.gradeup.co

Where x1(t) and x2(t) are two state  0 1 0


variables and r(t) denotes the input. The C. A =  0 0 1 and C = [0 0 1]
 −3 − 2 − 1 
input c(t) = x1(t).
The system is  0 1 0
A. Undamped (oscillatory) D. A =  0 0 1 and C = [1 0 0]
 −3 − 2 − 1 
B. underdamped
C. Critically damped. [2019: 2 mark]
D. Overdamped.
SOLUTION OF STATE EQUATION OF LTI
[2017: 1 Mark, Set-2]
SYSTEMS
11. The state equation and the output equation
of a control system are given below: 13. Then transfer Y(s)/ U(s) of a system
 −4 − 1.5 2  described by the state equations
x= x +  u
 4 0 0 x(t) = – 2x(t) + 2u (t) and y (t) = 0.5 x(t)
Y = [1.5 0.625] x is
The transfer function representation of the A. 0.5/(s – 2) B. 1/(s– 2)
system is: C. 0.5/(s + 2) D. 1/(s + 2)
3s + 5 3s + 1.875 [2002: 1 Mark]
A. 2
B. 2
s + 4s + 6 s + 4s + 6 14. The zero-input response of a system given

4s + 1.5 6s + 5 by the state-space equation


C. D.
s2 + 4s + 6 s2 + 4s + 6 tet  et 
A.   B.  
[2018: 2 Marks]  t  t 
12. Let the state-space representation of an
 et   et 
LT1 system be x’(t) = Ax (t) + Bu(t), C.   D.  
 tet   tet 
y(t) = Cx(t) + du (t) where A,B,C are
[2003: 2 Marks]
matrices, d is a scalar, u(t) is the input to
1 0
the system, and y (t) is its output. LetB = 15. Given A =   , the state transition
0 1
[0 01]T and d = 0. Which one of the
matrix eAt is given by
following options for A and C will ensure
0 e− t   et 0 
that the transfer function of this LTI A.   B.  
system is? e− t 0 0 et 

1 e − t 0  0 et 
H (s) = ? C.   D.  
3 2
s + 3s + 2s + 1 0 e− t  et 0
 0 1 0 [2004: 2 Marks]
A. A =  0 0 1 and C = [1 0 0]
16. A linear system is described by the
 −2 − 2 − 3 
following state equation
 0 1 0 .
 0 1
B. A =  0 0 1 and C = [0 0 1] X ( t ) = AX ( t ) + BU ( t ) , A =  −1 
0
 −2 − 2 − 3 

78
www.gradeup.co

The state -transition matrix of the system 1 1   0 1


C.   D.  
is:  −1 − 2  −1 − 3 

 cos t sin t   − cos t sin t  [2007: 2 Marks]


A.   B.  
 − sin t cos t   − sin t − cos t   0 1
19. Consider the matrix P =   . The
 − cos t − sin t   cos t − sin t   −2 − 3 
C.   D.  
 − sin t cos t   sin t cos t  value of eP is

[2006: 2 Marks] 2e−2 − 3e−1 e−1 − e−2 


A.  
Statement for linked answer questions 2e−2 − 2e−1 5e−2 − e−1 

17 and 18: e−2 + e−2 2e−2 − e−1 


B.  
Consider a linear system whose state 2e−1 − 4e2 5e−1 − 2e−2 
space representation is x ( t ) = Ax(t) . If the
5e−2 + e−1 3e−2 − e−2 
C.  
initial state vector of the system isx(0) = 2e−2 + 6e2 4e−1 − e−1 
 1
  , then the system response is x(t) =  2e−2 + e−2 e−2 − e−2 
 −2  D.  
 −2e−1 + 2e−2 − e−1 − 2e−2 
 e−2t 
  . If the initial state vector of the [2008: 2 Marks]
 − 2e−2t 
20. An unforced linear time invariant (LTI)
1  system is represented by
system changes to x (0)=   then system
 −2 
x '1   −1 0  x1 
  =   .
 e− t  x '2   0 2 x2 
response becomes x(t) =  .
 −e−t  If the initial conditions are x1(0) = 1 and
17. The eigen-vector pairs (λ1, Vi)for the x2(0) = –1, the solution of the state

system are equation is

 1   1   A. x1(t) = –1, x2(t) = 2


A.  −1,    and  −2,   
 1   2   B. X1(t) = –e–t, x2(t) = 2e–t

 C. X1(t) = –e–t, x2(t) = –2e–t


 1    1
B.  −2,    and  −1,   
  −1     −2  D. X1(t) = –e–t, x2(t) = -2e–t
[2014: 2 Marks, Set-2]
  1    1 
C.  −1,    and  −2,   
 −1
    −2   21. The state equation of a second-order linear
system is given by,
  1    1 
D.  −2,    and  −2,   
 −1    −2  
X(t) = Ax(t), x(0) = X0
 
 1 e − t  0
[2007: 2 Marks] For   , x(t) =   and for x0 =   ,
 −2 −t
 −e  1 
18. The system matrix A is

 0 1 1 1  e−t − e−2t 
A.   B.   x(t) =  
 −1 1   −1 − 2  −e− t + 2e−2t 

79
www.gradeup.co

3 CONTROLLABILITY AND OBSERVABILITY


when x0 =   , x(t) is
5
25. The state variable equations of a system
 −8e + 11e
−t −2t  11e − 8e
−t −2t 
A.   B.   are:
8e− t − 22e−2t  −t −2t
 −11e − 16e 
1. X’1 = –3x1– x2 + u,
 3e− t − 5e−2t   − 5e− t + 6e−2t  2. X’2 = 2x1, y = x1 + u.
C.   D.  
 −3e− t − 10e−2t   −3e− t − 6e−2t  The system is
A. Controllable bit nor observable.
[2014:2 Marks, set-3]
B. Observable bit not controllable
22. The state transition ϕ(t) of a system
C. Neither controllable not observable.
x1  0 1  x1 
  =    is D. Controllable and observable.
x2  0 0 x2 
[2004: 2 Marks]
t 1  1 0
A.   B.   dx
1 0 t 0  26. Consider the system = Ax + Bu
dt
0 1 1 t  1 0 P 
C.   D.  
1 t  0 1
with A =   and B =   where p and q
0 1 q
[2014: 2 Marks, Set-4] are arbitrary real numbers. Which of the
23. The state variable representation of a following statements about the
system is given as controllability of the system is true?
0 1 1  A. The system is completely state
x=  x, x(0) =   ,
0 − 1 0 controllable for any nonzero values of p
The response v(t) is and a.
A. sin (t) B. Only p = 0andq = 0 result in
B. 1 – e t controllability.
C. 1 – cos (t) C. The systems is controllable for all values

D. 0 of p and q.

[2015: 2 Marks, Set-2] D. Cannot concludes about controllability

24. Consider the state space realization: from the given data.
[2009: 1 Marks]
x '1(t)  0 0  x1(t)  0 
 =   +   u(t), with 27. The state variable description of an LTI
x '2 ( t ) 0 − 9 x2 ( t ) 45
system is given by
x1(0)  0
the initial condition   +  ;  x1   0 a1 0   x1   0 
x2 ( 0 )  0
      
 x1  =  0 0 a2   x1  +  0  u,

where u(t) denotes the unit step function


x  a 0
 1  3 0   x1  1 

the value of  x1 
 
y = (1 0 0 )  x2  ;
lim | x12 ( t ) + x22 (t) is _____________. x 
x →  2
[2017: 1 Mark, Set-2]

80
www.gradeup.co

Where y is the output and u is the input. The corresponding system is


The system is controllable for A. always controllable
A.a1≠0,a2 = 0, a3≠ 0
B. always observable
B.a1 = 0,a2≠ 0, a3≠ 0
C. always stable
C.a1 = 0,a2≠ 0, a3≠ 0
D. always unstable
D. a1≠ 0,a2≠ 0, a3 = 0
[2012: 2 Marks] [2014: 2 Marks, Set-2]

28. Consider the state space model of a 30. A second-order linear time-invariant
system, as given below: system is described by the following state
x1   −1 1 0  x1  0  x1 
         equations
x2  =  0 − 1 0  x2  + 4 u;y = 1 1 1 x2 
x   0 0 − 2 x  0  x  d
 3    3    3 x1 (t)+ 2x1 (t) = 3u(t),
dt
The system is
d
A. controllable and observable x1 (t)+ x2(t) = u(t);
dt
B. uncontrollable and observable
where x1(t) and x2(t) are the two state
C. less than zero
variables and u(t) denotes the input if the
D. controllable and unobservable
output c(t) = x1(t), then the system is
[2014: 2 Marks, Set-l]
29. Consider the state space system expressed A. controllable but not observable.

by the signal flow diagram shown in the B. observable but not controllable.
figure. C. both controllable and observable.

D. neither controllable nor observable.

[2016: 2 Marks, Set-3]

ANSWER

1. C 2. A 3. D 4. D 5. C 6. B 7. A 8. A 9. A 10. D

11. A 12. B 13. D 14. C 15. B 16. S 17. C 18. D 19. D 20. D

21. B 22. D 23. D 24. 5 25. D 26. C 27. D 28. B 29. A 30. A

81
www.gradeup.co

82
www.gradeup.co

SOLUTION

1. X =AX + BU with [  ] be the set of eigen  x1  0     x1  0 0


       u 
 x2  = 0 − − x2  + 1 0  1 
values. w = CW –DU with [µ] be the set of u
x3  0  −  x3  0 1  2 
eigen values. If a liner system is
Depending upon position of x1,x2 and x3
represented by two sets of state equations,
and u1 and u2 the rows and columns may
them fir both sets, states will be same but
interchange but the corresponding entries
their set of eigen values will not be same,
will remain the same , hence the solution
i.e.
is (d)
X = W but   
4. x1 = − x1 + x2
d
2. = −−i
dt x2 = − x1 + 2u
Taking laplace transform y = 0.5x1 + 0.5x2
 −1 1  0
s. ω(s) = – ω (s)+ Ia (s) x= x +  u
 −1 0 2 
(s+1).ω (s)=Ia (s)
y = [0.5 0.5]x
1
(s) = .I (s) 5. Mason’s gain formula:
s +1 a
dia Y(s)  Pk k
 =  10 ia + 10 u T(s) = =
dt U(s) 
s.Ia (s)=–ω (s) –10Ia (s) + 10U(s) Forward path gain (s):
ω(s)= – (s+10)la (s)+10U (s) 1 1 1
P1 = 2    0.5 =
= –(s+10)(s+1)ω (s)+101U(s) 5 5 52
∴ ω (s)[a+s2 + 11s+10] = 10.U(s) 1 1
P2 2   1  0.5 =
(s) 10 5 S
 =
U(s) s2 + 11s + 11 −1 1 1 −1
loo gain (s) : 1 = , 2 =   (−1) =
3. We get
s s s s2
 1 1 1 1
 − 1 [1 + 2 ] − 1 −  − −  =1+ +
2 s s2
 s s 
1 = 1, 2 = 2

1 1
1 + 1
P11 + P2 2 2 s
T(s) = = s
 1 1
1+ + 2
s s

(1 + s)
=
2
X1 = αx2+γx8 s + s +1
X2 = µ1 –αx2 – βx8
X3 = µ2 – γ x8 + βx2

83
www.gradeup.co

dy1 1 s + 1 0 
6. X = Y1 and x = [sI − A]−1 =  
dx (s + 1)(s + 1)  1 s + 1

 y   x  1  1 
y =  1 =   =  x  s +1 0 
 y2  2x  2
= 
 1 1 
 2 (s + 1) 
 (s + 1)
State transition matrix,
ϕ (t) = eAt = L-1 [sI -A]–1

 e− t 0 
= 
te−t e−t 

2 −1 3
9. x=  x +   u;y = [3 − 2]x.
0 −4  −1
Transfer function, T(s) = C[sI –A ]–1 B+D
y1 (s+2) = u
⇒ [sI –A]–1
y1 + 2y1 = u
−1
s − 2 1 
x + 2x = u = 
 0 s + 4
x = − 2x + u = − 2x + 1.u
1 s + 4 −1 
=
y1 = x1; y2 = 2x1 (s − 2)(s + 4)  0 
s − 2
 y  1
y =  1 =   x
y2  2
 C[sI − A]−1B

7. 1 s + 4 −1   3 
= .[3 − 2]   
(s − 2)(s + 4)  0 s − 2  −1

1 s + 4 −1   3 
= .[3 − 2]   
(s − 2)(s + 4)  0 s − 2  −1

 x1 = −x1 − u; x2 = −(x2 + x1 ) 1
= [9s + 39 + 2s − 4]
(s − 2)(s + 4)
= − x2 + x1 + u
11s + 35
y = x2 = − x2 + x1 + u C[sI − A]−1 B =
(s − 2)(s + 4)
 x1   −1 0   x1   −1
  =    +  u 10. d x1(t) − x2 (t) = 0
x2   1 −1 x2   1  dt

 −1 0   −1 Applying laplace transform


x=  x +  u
 1 −1 1 sx1(s) = x2(s)
 −1 0  d
8. A=  x (t) + 2x1(t) + 3x2 (t) = r(t)
 1 −1 dt 2

sx2(s)+2x1(s)+3x2(s)=R(s)
 s 0  −1 0  s + 1 0 
[sI − A] =  − = 
0 s   1 −1  −1 s + 1 (s+3)x2(s)+2x1(s)=R(S)
s(s+3)x1(s)+ 2x1(s) = R(s)

84
www.gradeup.co

(s2+3s+2).x1(s) = R(s) 1 0 1


where, A =   and X(t0 ) =  
∴ c(t) = x1(t) ⇒ c(s) = x1(s) 1 1 0
Transfer function, s − 1 0 
 (sI − A) =  
C(s) X1(s) 1  1 s − 1
= =
R(s) R(s) 2
s + 3s + 2 (s − 1) 0 
 
 n2 =2 (sI − A)−1 = 
1 (s − 1)
(s − 1)
3 3
2n = 3   = = = 1.06  1
2n 2 2  1 
 s −1 0 
As ξ > 1, the system overdamped. = 
 1 1 
11. Option a is correct.  (s − 1) (s − 1) 
 
12. Given
 et 0
C matrix start from right to leftsamesign. L−1[(sI − A)−1 ] = et =  
tet et 
Y(s) 1
H(s) = =
3 2
V(s) s + 3s + 2s + 1  et 0  1   et 
 x(t) = eAt  x[t0 ] =    =  
A matrix element start from right to left tet et  0 tet 
and takes opposite sign. −1
−1 s − 1 0 
Controllable. 15. Here [sI − A] = 
 0 s − 1
0 1 0
   1 
A  0 0 1  and C = [0 0 1]  0 
−1 −1 s − 1 
 −1 −2 −3 Then, [sI − A]−1 =  
 0 1 
13. x(t) = −2x(t) + 2u(t)   s − 1  

Taking laplace transform  et 0


= 
sX(s) = –2.X(s)+2U(s)  0 et 
X(s). (s+2)= 2U(s)
16. ϕ (t)=L–1 [SI–A]–1
2
X(s) = .U(s) −1
s+2 −1 s −1
=L  
 y(t) = 0.5x(t) 1 s 
Y(s) =0.5× (s)  s 1 
 2 2 
s + 1 s + 1
2 = L−1 
Y(s) = 0.5   U(s)  −1 s 
s+2  2 
2
Y(s) 1  s + 1 s + 1
=
U(s) s + 2  cos t sin t 
= 
t  − sin t cos t 
14. x(t) = eA(t − t0 )x(t0 ) + e
A(t − s)
BU()ds
17. We know that
t0
Sum of the eigen value = trace of the
A(t − t0 )
=e X(t0 ) + 0( B = 0)
principle diagonal matrix.
 s 0 1 0 Sum= –3
(sI − A) =  − 
0 s  1 1  Only option (a) satisfies both conditions.

85
www.gradeup.co

18. Multiplication of eigen value=determinant 1  e− t 


for x0   , x(t) =  
of matrix  −1  −e− t 
From option , it seems determinant should
0  e−t − e−2t 
bed ±2. for x0 =   , x(t) =  
1   −e− t + 2e−2t 
Only option, (d)
Using additivity property
satisfies as determinant =2.
k2x1(t) = L-1[sI – A]-1.x1(0).k1
19.
k2x2(t) – L-1[sI – A]-1.x2(0).k2
k1x1(t) + k2x2(t)
  0 1 
−1
−1 −1   s 0 
ep = L−1 sI − P  =L −  = L-1[sI – A]-1 [K1X1(0) + K2X2(0)]
 0 s   −2 −3 
 X3(s) = [sI -A]-1 .X3(0)
1 0 3
−1 k1   + k2  = 
  s −1    −1 1 5
= L−1   
 2 s + 3   k1  3 k1 = 3
 = 
−k + k 5 k 2 = 8


( s + 3) 1   1 2  

−1   ( s + 1) ( s + 2 ) ( s + 1) ( s + 2 )   ⇒ x (t) = K1x1 (t) + k2x2 (t)
= L  
 −2 s   e− t   e−t − e−2t 
  ( s + 1) ( s + 1) ( s + 1) ( s + 2 )   x (t) = 3   +8 
   −e−t   −e−t + 2e−2t 
 2e−1 − e−2 e−1 − e−2 
=   11e− t + 8e− − 2t 
 −2e−1 + 2e−2 −e−1 + 2e−2  = 
 −11e− t + 16e−2t 
20. x1 = x1 +0.x2 = -x1
0 1
x2 = 0.x1 – 2x2 = -2x2 22. A =  
0 0
Applying Laplace transform,
 s 0 0 1   s −1
sx1(s) - x1(0) = – x1(s) sI − A  =  − = 
0 s  0 0 0 s 
x1(s) = 1/(s + 1)
|sI – A| = s2.
x1(t) = e-t
S.T.M: ϕ (t) = L-1[SI-A]-1
⟹sx2(s) – x2(0) = 2x2(s)
s 1 1 1 
(s+2) x2(s) = x2(0)  2 2  s 2  1 t 
s s 
−1 = = L−1  s  =  
x2 ( s ) =  s   1  0 1
s+2 0 2   0 
 s   s 
x2(t) = -e-2t
0 1  1
21. Given: x=Ax(t), x(0) = x0; 23. A =   ,B = 0, c = 0 1 ;x (0 ) =  
0 −1 0
Taking Laplace transform,
X’ = Ax
sX (s) – x(0) = AX (s)
sx(s) – (0) = A.x(s)
[SI – A] X (s) = x(0)
[sI – A]-1x(s) = x(0)
X(s) = [SI – A] .X(0) -1
X(s) = [sI – A]-1.x(0)
X(t) = L-1[SI – A]-1.x(0)

86
www.gradeup.co

 s −1 
−1  −3 −1
sI − A 
−1
.= 
Then, A =  
 2 0
0 s + 1
1 
1 s + 1 1 B =   , C = [1 0]
=   0
s ( s + 1)  0 s
1 −3
1 s + 1 1 1  Now, Qc = B : BA  =  ,
 x (s) =    0 2
s ( s + 1)  0 s  0
1 −3
1 s + 1 det Qc = 0
= 0 2
 
s ( s + 1)  0 
Hence the system is controllable and
1  observable
x (s) =  s 
  1 0 p 
0  26. A =   ,B =  
0 1  q
1  1 0 p  p 
x ( t ) =   u(t) AB = 
0   =  
0 1 q q
1  p p 
 y ( t ) = 0 1 x ( t ) = 0 1   y ( t ) = 0 Qc = B AB  =  =0
0  q q
24. x1(t) = 0 Hence, the system is uncontrollable for all
Applying Laplace transform, values of p and q.
sX(s) – x1(0) = 0; x1(0)=0 0 a1 0  0
27. A =  0   
0 a2  ,B = 0
x1 (0 )
x1 ( s ) − 0 a3 0 0  1
2
 0 a1 0  0  0 + 0 + 0   0 
x1t = 0.       
AB =  0 0 a2  0 = 0 + 0 + a2  = a2 
⟹ x2(t) – 9x2(t) + 45.u(t)
a 0  1   0 + 0 + 0   0 
sx2(s) -x2(0) = 9x(s) +45/s  3 0 

45 0 a1 0   0 
x2 ( s ) =   
s (s + 9)
2
A B = A.AB =  0 0 a2  a2 
a3 0 0   0 
45
lim x2( t ) = lim sx2 ( s ) = =5
x → x → 9 0 + a1a2 + 0 a1a2 
   
=  0+0+0  =  0 
lim x12 ( t ) + x22 ( t )  0 + 0 + 0   0 
x →

lim  x12 ( t )  + lim x2 + 1 = 10 + 52 = 5 Qc = B AB A2B 


x →  x →  2   
⇒  0 0 a a
1 2
25. Rewriting state equations in matrixform,  
= 0 a2 0 
we get 1 0 0 
 1   −3 −1  1  1
  =    +  u QC = 0 − a1a22 = −a1a22  0
2   2 0  2  0

   1 (For controllability)


y = 1 0  1  +   u
2  0 a1 ≠ 0, a2 ≠ 0

87
www.gradeup.co

 −1 1 0  0  x1 
 
28. A =  0 −1 0  , B = 4 , y = c1 c2
 
c3  x2 
 0 0 −2 0 x3 

1 For controllability, |QC| ≠ 0.


  T
C = 1 1 1  C = 1 0 0 1 
1  
 2 
QC = B AB A B = 0 1 a3 
 
 2
 −1 1 0  0 0 + 4 + 0  4  1 a3 a2 + a3 
       
AB =  0 −1 0  4 = 0 − 4 + 0 =  −4 |QC| ≠ 0 ⇒ The system is controllable.
 0 0 −2 0 0 + 0 + 0  0 
For observability, |Q0| ≠ 0
A2B = A.AB
Q0 = C7 A7C7 A7 CT 
2

 −1 1 0   4   −4 − 4 + 0  −8  
       
=  0 −1 0   −4 =  0 + 4 + 0  =  4   c1 c2 c3 
 0 0 −2  0   0 + 0 + 0   0   
= c3a1 c1 + c2a2 c2 + c2a2 
c1 ( c2 + c3a3 ) c3n1 + ( c2 + c3a3 ) a2 c1 + c2a2 + ( c3 + c2a3 ) a2 

 −1 1
T  
T 27 T T T T  
A C =  0  ; A C = A A C =  −1
∵ |Q0| depends on unknown parameters.
 −2  4 
Hence, the system is not always
For controllability, |QC| ≠ 0
observable.
0 4 −8 30. x1 ( t ) + 2x1 ( t ) = 3u ( t ) .
 2   
QC = B AB A B = 4 −4 4 
  x2 ( t ) + x2 ( t ) = u ( t )
0 0 0 
x1 ( t ) + −2x1 ( t ) + 3u ( t )
|QC| = 4 × 0 = 0 ⇒ Uncontrollable,
for observability, |Q0| ≠ 0 x3 ( t ) + −x2 ( t ) + u ( t )

1 −1 1   x1   −2 0   x1  3
   =    +  u
Q0 = C 7 T
A C T
A 2T T
C = 1 0 −1 x2   0 −1 x2  1
 
1 −2 −4
x 
 c ( t ) = x1 ( t ) = 1 1 0  1 
|Q0| = 1 ≠ 0 ⇒ observable. x2 

29. From signal flow graph,  −2 0  3


A= , B =  
x1 = x2  0 −1 1
 −2 0  3  −6 
x2 = x2 AB =    =  
 0 −1 1  −1
x3 = a3x3 + a2 x2 + a1x1 + u
For controllability,
y = c 1x1 + c2 x2 + c3x3 QC = −3 + 6 = 3  0  controllable.

 x1   0 1 0  x1  0  C   1 0
        For observability, Q0 =   =  
x2  =  0 0 1 x2  + 0 u CA   −2 0
x3  a1 a2 a5  x3  1
|Q0| = 0 ⇒ NOT observable.

❖❖❖❖

88
www.gradeup.co

89
7
www.gradeup.co

Compensators and
Controllers

Analysis of Previous GATE Papers

2017 Set 1

2017 Set 2

2016 Set 1

2016 Set 2

2016 Set 3

2015 Set 1

2015 Set 2

2015 Set 3
2020

2019

2018
Year →
Topic
Topic ↓

MCQ Type 1 1 1
1 Mark
Numerical Type
Compensators
MCQ Type 1
(lag, lead, lag-lead, lead-lag) 2 Marks
Numerical Type 1
Total 1 1 2 3
MCQ Type
1 Mark
Numerical Type
Controllers
MCQ Type
(P,PI, PD,PID) 2 Marks
Numerical Type
Total

90
www.gradeup.co

COMPENSATORS(LAG, LEAD, LAG-LEAD, V0


The transfer function represents a kind
LEAD - LAG) V1

of controller. Match the impedances in


1. A double integrator plant,
group I with the types of controllers in
K
G(s) = ,H(s) = 1 is to be compensated to
S group II.

achieve the damping ratio = 0.5, and an Group -I

undamped natural frequency, ωn = 5


rad/s. Which one of the following
compensator Ge(s) will be suitable?
s+3
A. B. s + 9.9
s + 9.9 s+3

s−6
C. D. s + 6
s + 8.33 s

[2005: 2 Marks]
2. The transfer function of a phase-lead
compensator is given by
 Group – II
A. B. 
2 3 1. PID controller
 2. Lead compensator
C. D. 
4 6
3. Lag compensator
[2006: 2 Marks] A. Q-1, R-2 B. Q-1, R-3
3. The open-loop transfer function of a plant C. Q-2, R-3 D. Q-3, R-2
1 [2008: 2 Marks]
is given as G(s) = . If the plant is
s −1
5. The magnitude plot of a rational transfer
operated in a unity feedback configuration,
function G(s) with real coefficients is
then the lead compensator that can
shown below. Which of the following
stabilize this control is
compensators has such a magnitude plot?
10 ( s − 1) 10 ( s − 1)
A. B.
( s − 2) ( s + 2)
10 ( s − 2 ) 10 ( s + 4)
C. D.
( s + 10) ( s + 1)
[2007: 2 Marks]
4. Group I gives two possible choices for the A. Lead compensator

impedance Z in the diagram. The circuit B. Lag compensator

elements in Z satisfy the condition R 2C2> C. PID compensator

R1 C1. The transfer function R2 C2> R1 C1. D. Lead-Lag compensator


[2009: 1 Mark]

91
www.gradeup.co

6. Gc(s) is a lead compensator if


A. a = 1, b = 2
B. a = 3, b = 2 A.
C. a = –3, b = –1
D. a = 3, b = 1
[2012: 2 Marks]
Linked Answer questions
Statement for linked Answer questions B.

7and 8: The transfer function of a


compensator is given as:
s+a
G0 (s) =
s+b
C.
7. The phase of the above lead compensator
is maximum at

A. 2 rad / s B. 3 rad / s

C. 6 rad / s D. 1 / 3 rad / s
D.
[2015: 2 Marks]
8. A lead compensator network includes a
parallel combination of R and in the feed-
11. Which of the following statements is
forward path. If the transfer function of the
incorrect?
compensator is
A. Lead compensator is used to reduce the
s+2
G0 ( s ) = , the value of RC is……. settling line.
s +1
[2015: 2 Marks, set -1] B. Lag compensator is used to reduce the

9. The transfer compensator of a first order steady state error.

controller is given as C. Lead compensator may increase the

K(s − a) order of a system.


G0 ( s ) −
s+b D. Lag compensator always stabilize an
Where, K, a and bare positive real unstable system.
numbers. The condition for this controller [2017: 1 mark, Set-2]
to act as a phase lead compensator is 12. Which one of the following polar diagrams
A. a < b B. a > b corresponds to a lag network?
C. K < ab D. K > ab
[2015: 1 Mark, Set-3]
10. Which of the following can be pole -zero A.
configuration of a phase-lag controller (lag
compensator)?

92
www.gradeup.co

B.

A.KP, = 100, KD = 0.09


C.
B. KP = 100, KD = 0.9
C. KP = 10, KD = 0.09
D.KP = 10, KD = 0.9

D. [2007: 2 Mark]
15. A unity negative feedback closed loop
system has a plant with the transfer
[2018: 1 Mark] 1
function G ( s ) = 2
and a controller
S + 2s + 2
CONTROLLERS (P, PI, PD, PID)
Gc(s) in the feed forward path, For a unit
13. A PD controller is used to compensate a step input, the transfer function of the
system. Compared to the uncompensated controller that gives minimum steady state
system, the compensated system has error is
A. a higher type number
A. Gc (s) = s + 1
B. reduced damping s+2
C. higher noise amplification
B. Gc (s) = s + 2
D. larger transient overshoot s +1

[2003: 1 Mark] C. Gc (s) =


( s + 1) ( s + 4)
14. A control system with a PD controller is ( s + 2 ) ( s + 3)
shown in the figure. If the velocity error D. Gc (s) = 1 + 2 + 3s
s
constant Kv= 1000 and the damping ratio
= 0.6, then the values of Kp and KD are [2010: 2 Marks]

ANSWER

1. A 2. D 3. C 4. B 5. D 6. A 7. A 8. 0.5 9. A 10. A

11. D 12. D 13. C 14. B 15. D

93
www.gradeup.co

SOLUTION

1. Damping ratio ζ= 0.5 3. For lead compensator, | Glead ( j)  0 .


ϕ = cos (ζ) = 60°
–1
Controllers gives in options (a), (b) and (d)
are not lead compensator. Option (C) will
stabilize this control system.
G(s).Gc ( s )
Transfer function =
1 + G ( s ) Gc ( s ) .1

1 10 ( s + 2 )
.
G (s) =
s
K
2
(s 2
−1 ) ( s + 10)
10 ( s + 2 )
s =2.5 + j4.33
1
1+ 2
 1
 4.33  s −1 s + 10
= −2 tan−1   = 120
 −2.55 
10 ( s + 2 )
=
∴ For compensated system, angle 3 2
s + 10s − s − 19 + 10s + 20
= 180° – 120° = 60°. 10 ( s + 2 )
= = .[Stable system]
(b) and (d) are lag network and for 3
s + 10s2 + 9 + 10s
compensating lag 4. Redrawing circuit(s) in s – domain,
k
a lead network is required.
s2
∴ Putting = – 2.5 + j4.33 in option (a)
gives
k(s + 3) 0.5 + j4.33 Applying nodal analysis,
= = 53  60
s2 ( s + 9.9 ) 7.4 + j4.33
V1 ( s ) − 0 0 − V0 ( s )
=
Hence, option (a) is the correct answer. R1 Z
sC1R 1 + 1
2.  = Gc (S) = − tan−1 T + tan−1 3T
s = j
V0 ( s ) Z. (R1C1s + 1)
For maximum phase-shift; =−
V1 ( s ) R1
d −T 3T
=0= + 1 R C s +1
d 1 + ( T)
2
1 + (3T)
2 For Q: Z = R2 + = 2 2
sC2 sC2

1 3 1 R2
 = For R: Z = R2 || =
2 2
( T) 1 + (3T) sC2 R2C2s + 1

1 Considering Q for Z
 T =
3 V0 R (R C s + 1) (R1s + 1)
=− 2 1 1
V1 sC2.R1
−1 1 
∴ max = tan 3 − tan−1 =
3 6 Considering R for Z

94
www.gradeup.co

V0 R (R C s + 1) (R1s + 1) 8. Transfer function = 1 + sT


=− 2 1 1 1 + sT
V1 (R2C2s + 1).R1
R2
⇒ Given: R2 C2≫ R1 C1  = R1c,  =
R1 + R 2
→ Considering R, controller is lag-
compensator.
→ Considering Q, controller is PID
controller.
5. G(s) for lead compensator =

( s + z1 ) ( s + z2 ) Given: Gc (s) = s + 2
( s + p1 ) ( s + p2 ) s+4

And the blade -plot for G(jω) is shown Now comparing,  = 1 , T = 1


2 4
below.
∴ = 1
4

Time-constant τ = RC = 0.5 S.
9. For phase lead compensator,
1 + sT
Gc (s) = ;  1
1 + sT

6. For lead compensator, | Gc ( j)  0. k ( s + a)


Gc (s) =
(s + b)
   
tan−1   − tan−1    0
 a b Now comparing  = 1 and   = 1
a b
    a
 − tan−1    0  = 1 ab
a a b b

Considering the options with above 10. For phase lag controller,

inequality, 1 + s
Gc(s) = ;  1
1 + .
Option (c) is correct.
7. For phase to be maximum.

[| Gc ( j)] = 0


    
[tan−1   − tan−1  ] = 0
 1
  2

1 2 11. Lag compensator:


− =0
2 2
1+   +4 → Increases gain of original network
ω +4–2–2ω =0
2 2
without stability.

∴ω = rads
. → reduces steady-state error.
2
s
→ reduces speed of response. Lead
compensator:

95
www.gradeup.co

→ Increases gain crossover frequency. 15. E(s) = R(s) – C (s)


→ reduces bandwidth. E(s) = R(s) – E(s) . C(s) Gc(s)
→ reduces undamped frequency. R(s)
E(s) =
1 + G ( s ) Gc ( s )
12. Option d is the correct answer.
13. Effect of PD controller,
(i) does not effect the type of the system,
(ii) reduces overshoot and increases
damping,
Here, R(s) = 1
(iii) increases the bandwidth therefore SNR s
decrease, 1 1
lim G ( s ) = = = 0.5
s →0 0+0+2 2
(iv) Increases the noise.
∴ Steady state error,
 100 
14. G = G1G2 = (KP + KDs ) 
 s ( s + 10 )  ess = lim G ( s ) =
1
  s →0 1 + G ( s ) Gc ( S )
s[Kp + kDs]100
For unit step input,
s ( s + 10 )
1
k ( s) =
∴ 1000 = 10 Kp s

⇒ KP = 100 1
∴ ess = lim
s →0 1 + G ( s ) Gc ( s )

T (s) =
G (s)
=
(Kp + kDs)
1 + G (s)  100  1
=
1 + (KP + KDs )  1 + lim G ( s ) lim Gc(s)
 s ( s + 10 ) 
  s →0 s →0

100 (KP + KDs ) ess= maximum


=
s2 + 10s + 100KkDs + 100KP If Gc = max imum
s →0 ( s )

Compare characteristic equation with Hence for option (d) :


s2 + 2 ζωns 2
+ n Gc ( s) =
s →0 ( s )
2
100 + KD + 10 = 2 𝜖 ωn and 100 Kp = n ∴ess = 0

⇒ n = 100  100 = 100

⇒ 100 KD + 10 = 2 × 0.5 × 100


⇒ KD = 0.90

❖❖❖❖

96
www.gradeup.co

97
www.gradeup.co

1
www.gradeup.co

SYLLABUS

R andom processes: autocorrelation and power spectral density, properties of white


noise, filtering of random signals through LTI systems. Analog communications:
amplitude modulation and demodulation, angle modulation and demodulation, spectra of
AM and FM, superheterodyne receivers. Information theory: entropy, mutual information
and channel capacity theorem. Digital communications: PCM, DPCM, digital modulation
schemes (ASK, PSK, FSK, QAM), bandwidth, inter-symbol interference, MAP, ML detection,
matched filter receiver, SNR and BER. Fundamentals of error correction, Hamming codes,
CRC.

CONTENT
S.No. Chapter Page No.

1. Random Signals & Noise ........................................................................................................... 4-36

➢ Variance & Probability Density Function .............................................................................................. 5

➢ Auto-correlation & Power Spectral Density ........................................................................................ 11

➢ Properties of White Noise............................................................................................................................ 14

➢ Filtering of Random Signals Using LTI Systems .................................................................................. 15

Answers........................................................................................................................................................ 19

Solution ........................................................................................................................................................ 19-36

2
www.gradeup.co

S.No. Chapter Page No.

2. Analog Communication............................................................................................................. 38-64

➢ Amplitude Modulation .................................................................................................................................. 39


➢ Frequency Modulation .................................................................................................................................. 40

➢ Phase Modulation ........................................................................................................................................... 41


➢ Spectra of AM & FM ........................................................................................................................................ 43
➢ Superheterodyne Receiver .......................................................................................................................... 47

➢ Circuits for Analog Modulation.................................................................................................................. 47


Answers........................................................................................................................................................ 49
Solution ........................................................................................................................................................ 51-64

3. Digital Communication ............................................................................................................. 68-93

➢ Digital Modulation Schemes ....................................................................................................................... 69


➢ ASK, FSK, PSK, QAM........................................................................................................................................ 70

➢ Matched Filter Receiver, MAP & NL Decoding..................................................................................... 71


➢ Bandwidth, SNR & BER For Digital Modulation .................................................................................. 74
Answers........................................................................................................................................................ 83

Solution ........................................................................................................................................................ 83-93

4. Information Theory & Coding .............................................................................................. 95-102

➢ Fundamentals of Error Correction & Hamming Code ...................................................................... 96


➢ Timing & Frequency Synchronization .................................................................................................... 98
➢ Inter symbol Interference & Mitigation ................................................................................................. 98
➢ Basics of TDMA, FDMA & COMA ................................................................................................................ 98

Answers........................................................................................................................................................ 99
Solution ........................................................................................................................................................ 99-102

3
1
www.gradeup.co

Random Signals & Noise

Analysis of Previous GATE Papers

2017 Set 1

2017 Set 2

2016 Set 1

2016 Set 2

2016 Set 3

2015 Set 1

2015 Set 2

2015 Set 3
2020

2019

2018
Year →
Topic
Topic ↓

MCQ Type
1 Mark
Numerical Type 1 2 1
Variance and
MCQ Type 1
Probability Density Function 2 Marks
Numerical Type 1 1 2
Total 3 2 1 4 4
MCQ Type
1 Mark
Numerical Type
Auto-Correlation
MCQ Type 1 1
and Power Spectral Density 2 Marks
Numerical Type 1 2
Total 2 2 4 2
MCQ Type
1 Mark
Numerical Type 1
Properties of white Noise MCQ Type 1 1
2 Marks
Numerical Type
Total 1 2 2
MCQ Type
1 Mark
Numerical Type
Filtering of Random
MCQ Type 1
Signals Using LTI System 2 Marks
Numerical Type 1
Total 2 2

4
www.gradeup.co

VARIANCE & PROBABILITY DENSITY 4. An output of a communication channel is a


FUNCTION random variable with the probability
density function as shown in the figure.
1. The PDF of a Gaussian random variable X
–(𝑥–4)2
The mean square value of v is
1
is given by Px(x)= 𝑒 18 . The
3√2 𝜋

probability of the even {X = 4} is


1 1
A. B.
2 3 2

1
C. 0 D.
4
[2001 :1 Marks]
2. The distribution function Fx(x) of a random
variable X is shown in the figure. The
probability that x = 1 is A. 4 B. 6
C. 8 D. 9
[2005 :2 Marks]
Common Data for Questions 26 and 27.
Asymmetric three-level midtread quantizer
is to be designed assuming equiprobable
occurrence of all quantization levels.
5. If the probability density function is divided
into three regions as shown in the figure,
A. zero B. 0.25 the value of a in the figure is
C. 0.55 D. 0.30
[2004 :1 Marks]
3. A random variable X with uniform density
in the interval 0 to 1 is quantized as
follows:
If 0 < X < 0.3, xq = 0
1 2
A. B.
If 0.3 < X < 1, xq = 0.7 3 3
where, xq is the quantized value fo X. 1 1
C. D.
The root-mean square value of the 2 4
quantization noise is [2005 :2 Marks]
A. 0.573 B. 0.198 6. The quantization noise power for the
C. 2.205 D. 0.266 quantization region between –a and +a
[2004 :2 Marks] inthe figure is

5
www.gradeup.co

4 1
A. B.
81 9
5 2
C. D.
81 81
[2005 :2 Marks]
7. A uniformly distributed random variable X
with probability density function
1
fx (x) = (u(x+5)–u(x–5))
10 The corresponding Cumulative Distribution
where u(.) is the unit step function is Function (CDF) has the form
passed through a transformation given in
the figure below. The probability density
function of the transformed random
variable y would be

1
A. fY (y) = (u(y+2.5)–u(y–2.5)).
5
B. fY (y) = 0.5(y)+0.5(y–1).

C. 𝑓𝑌 (𝑦) = 0.25δ(y+2.5)+0.25δ(y–2.5)+0.5δ(𝑦).

D. 𝒇𝒀 (𝒚) = 0.25𝛅(y+2.5)+0.25𝛅(y–2.5)
1
+ (u(y+2.5)–u(y–25)
10
[2006 :2 Marks]
8. If Edenotes expectation, the variance of a
random variable X is given by
A. E[X2] – E2[X] B. E[X2] + E2[X]
C. E[X2] D. E2[X]
[2007 :1 Marks]
9. The Probability Density Function (PDF) of a
random variable X is as shown below.

6
www.gradeup.co

Statement for Linked Answer Questions


12 and 13:
Consider a baseband binary PAM receiver
shown below. The additive channel noise n(f) is
N0
white with power spectral density SN(f) = =
2
10–20 W/Hz. The low-pass filter is ideal with
unity gain and cut-off frequency 1MHz. Let Yk
represent the random variable y(tk).
Yk = Nk if transmitted bit bk = 0
[2008 :2 Marks] Yk = a + Nk if transmitted bit b = 1;
10. Px(X) = Mexp(–2|x|) + Nexp(–3|x|) is the where Nk represents the noise sample value.
probability density function for the real The noise sample has a probability density
random variable X, over the entire x axis.
function, PNk(n) = 0.5e–|n| (This has mean
M and N are both positive real numbers. 2
zero and variance . Assume transmitted bits
𝛼2
The equation relating M and N is
a
2 3 to be equiprobable and threshold z is set to
A. M+ N=1 B. M+ N=1 2
3 2
= 10–6V.
C. M + N = 1 D. M + N = 3
[2008 :2 Marks]
11. A discrete random variable X takes values
from 1 to 5 with probabilities as shown in
the table. A student calculates the variance
of Xas 3.5 and her teacher calculates the
12. The value of the parameter a (in V–1) is
variance of X as 1.5 . Which of the
A. 1010 B. 107
following statements is true?
C. 1.414 × 10–10 D. 2 × 10–20
K 1 2 3 4 5
[2010 :2 Marks]
P(X=K) 0.1 0.2 0.4 0.2 0.1
13. The probability of bit error is
A. 0.5 × e–3.5 B. 0.5 × e–5
A. Both the student and the teacher are
C. 0.5 × e–7 D. 0.5 × e–10
right.
[2010 :2 Marks]
B. Both the student and the teacher are
14. Two independent random variable X and Y
wrong.
are uniformly distributed in the interval [–
C. The student is wrong but the teacher is
1, 1]. The probability that max [X, Y] is
right.
1
D. The student is right but the teacher is less than is
2
wrong.

7
www.gradeup.co

3 9 19. Let X be a random variable which is


A. B.
4 16 uniformly chosen from the set of positive
1 2 odd numbers less than 100. The
C. D.
4 3
expectation, E[X] is ________.
[2012 :1 Marks]
[2014 :1 Marks, Set-2]
15. Let U and V be two independent zero mean
20. The input to a 1-bit quantizer is a random
1
Gaussian random variable of variances variable X with PDF fx(x) = 2e–2x for x > 0
4
and fx(x) = 0 for x < 0. For outputs to be
1
and respectively. The probability P(3V > of equal probability, the quantizer
9
2U) is threshold should be _______.

4 1 [2014 :2 Marks, Set-2]


A. B.
9 2 21. Let X1, X2 and X3 be independent and
2 5 identically distributed random variable with
C. D.
3 9
the uniform distribution on [0, 1]. The
[2013 :2 Marks] probability P(X1 + X2< X3) is _________.
16. Consider two identically zero-mean random [2014 :2 Marks, Set-3]
variables U and V. Let the cumulative 22. A binary random variable X takes the value
distribution functions of U and 2V be F(z)
1
of 1 with probability . X is input to a
and G(x) respectively. Then, for all values 3
of x cascade of 2 independent identical binary
A. F(x) – G(x) < 0 symmetric channels (BSCs) each with
B. F(x) – G(x) > 0
1
crossover probability . The output of
C. (F(x) – G(x)).x ≤ 0 2
D. (F(x) – G(x)).x ≥ 0 BSCs are the random variable Y1 and Y2 as
[2013 :2 Marks] shown in the figure.
17. Let, x1, x2, and x3 be independent and
identically distributed random variable with
the uniform distribution on [0, 1], The
probability P{x1 is the largest} is _____.
The value of H(Y1) + H(Y2) in bits is _____.
[2014 :1 Marks, Set-1]
[2014 :2 Marks, Set-3]
18. Let X be a real-valued random variable
23. Let, X be a zero mean unit variance
with E[X] and E[X2] denoting the mean
Gaussian random variable. E[|X|] is equal
values of X and X2, respectively. The
to ___________.
relation which always holds
[2014 :1 Marks, Set-4]
A. (E[X]2) > E[X2]) B. E[X2] >(E[X]) 2
24. Consider a communication scheme where
C. E[X2] =( E[X]) 2 D. E[X2] >(E[X]) 2
[2014 :2 Marks, Set-1] the binary values signal X satisfies P{X =
1} = 0.75 and P {X = –1} = 0.25.

8
www.gradeup.co

The received signal Y = X+Z, where Z is a 27. Let X  {0, 1} and Y  {0, 1} be two
Gaussian random variable with zero mean independent binary random variable. If P(X
 = 0) = p and P(Y = 0) = q, then P(X +
and variance  . The received signal V is
fed to the threshold detector. The output Y>1) is equal to
^ A. pq(1–p)(1–q)) B. pq
of the threshold detector X is :
C. p(1–q) D. 1–pq
^ +1, Y >  
X=  [2015 :2 Marks, Set-2]
–1, Y <  
28. A random binary wave y(t) is given by
To achieve a minimum probability of error

^ y(t) =  Xnp(t – nT – ), where p(t) =
P| X  X |, the threshold should be n=– 

A. strictly positive. u(t)-u(t –T), u(t) is the unit step function


B. zero. and  is an independent, random variable
C. strictly negative
with uniform distribution in [0, T], The
D. strictly positive, zero, or strictly
sequence consists of independent and
negative depending on the nonzero value
identically distributed binary valued
of 2 .
random variables with P[Xn = +1] = P{Xn
[2014 :2 Marks, Set-4]
= –1} = 0.5 for each n. The value of the
25. Consider the Z-channel given in the figure.
autocorrelation
The input is 0 or 1 with equal probability,
 3T    3T  
1.0. R yy    E y(t)y  t–  is equals
 4    4  

_____.
[2015 :2 Marks, Set-3]
29. The variance of the random variable X with
probability density function f(x) =
1
|x|e–|x| is ________.
2
[2015 :2 Marks, Set-3]
30. Consider the random process
If the output is 0, the probability that the
X(t) = U + Vt,
input is also 0 equals ________.
where, U is zero-mean Gaussian random
[2014 :2 Marks, Set-4]
variable and V is a random variable
26. Let the random variable X represent the
uniformly distributed between 0 and 2.
number of times a fair coin needs to be
Assume that U and V are statistically
tossed till two consecutive heads appear
independent. The mean value of the
for the first time. The expectation of X is
______. random process at t = 2 is _______.

[2015 :2 Marks, Set-2] [2017 :1 Marks, Set-2]

9
www.gradeup.co

10
www.gradeup.co

31. If X and Y are random variables such that 36. Let X and Y be two statistically independent
E[2X+Y]=0 and E[X+2Y]=33, The random variables uniformly distributed in
E[X]+E[Y] = _________. the ranges (-1, 1) and (-2, 1) respectively.
[2019 :1 Marks] Let Z = X + Y . Then the probability that
32. Let Z be an exponential random variable (Z ≤ –2) is
with mean 1. That is, the cumulative A. Zero B.
1
6
distribution function of Z is given by 1 1
C. D.
3 12
1–e–x if x > o
Fz (x)=  [2003 :1 Mark]
 0 if x < o
Common Data for Questions 37 and 38:
The Pr(Z > 2IZ > 1), rounded off two Let X be the Gaussian random variable
decimal places, is equal to _______. obtained by sampling the process at t = t 1 and
[2019 :1 Marks] let
∞ 𝑥2
1
AUTO-CORRELATION & POWER SPECTRAL 𝑄(𝛼) = ∫ – 𝑒 2 𝑑𝑦
𝛼 √2𝜋
DENSITY
Auto correlation function Rxx(  )

33. The PSD and the power of a signal g(t) = 4(e–0.2|  |+1) and mean = 0
are, respectively Sg(  ) and Pg. The PSD 37. The probability that [x < 1] is
and the power of the signal ag(t) are, A. 1 – Q(0.5) B. Q(0.5)
respectively,  1   1 
C. Q   D. 1 – Q  
A. a2Sg(  ) and a2Pg B. a2Sg(  ) and aPg 2 2  2 2 

C. aSg(  ) and a2Pg D. aSg(  ) and aPg [2003 :2 Marks]

[2001 :2 Marks] 38. Let Vand Z be the random variables

34. If the variance  x2 of d(n) = x(n) – x(n – obtained by sampling X(t) at t = 2 and

1) is one-tenth the variance of a stationary t = 4 respectively. Let W = Y – Z The

zero-mean discrete-time signal x(n), then variance of W is

the normalized autocorrelation function A. 13.36 B. 9.36

RXX(k)/  x2 at k = 1 is C. 2.64 D. 8.00

A. 0.95 B. 0.90 [2003 :2 Marks]

C. 0.10 D. 0.05 39. A 1 m W video signal having a bandwidth

[2002 :2 Marks] of 100 MHz is transmitted to a receiver

35. The noise at the input to an ideal through a cable that has 40 dB loss. If the

frequency detector is white. The power effective one-sided noise spectral density

spectral density of the noise at the output at the receiver is 10–20 Watt/Hz, then the

is signal-to-noise ratio at the receiver is

A. raised-cosine B. flat A. 50 dB B. 30 dB

C. parabolic D. Gaussian C. 40 dB D. 60 dB

[2003 :1 Mark] [2004 :2 Marks]

11
www.gradeup.co

40. Noise with uniform power spectral density


of N0W/Hz is passed through a filter H(  )

= 2exp (–j  td) followed by an ideal low

pass filter of bandwidth B Hz. The output


noise power in Watts is
A. 2N0B B. 4N0B
C. 8N0B D. 16N0B
[2005 :2 Marks]
41. If S(f) is the power spectral dersity of a
real, wide-sense stationary random
process, then which of the following is
ALWAYS true?
A. S(0) > S(f) B. S(f) > 0
 [2009 :1 Mark]
C. S(–f)=–S(f) D.  S ( f ) df = 0 44. X(t) is a stationary process with the power
–
spectral density SX(f) > 0 for all f. The
[2007 :1 Marks]
process is passed through a system shown
42. If R(  ) is the auto-correlation function of a
below.
real, wide-sense stationary random
process, then which of the following is NOT
true?
A. R(  ) = R(–  )

B. |R(  )|<R(0)

C. R(  ) = –R(–  )
Let SY(f) be the power spectral density of
D. The mean square value of the process is Y(t). Which one of the following statements
R(0) is correct?
[2007 :1 Mark] A. SY(f) > 0 for all f
43. If the power spectral density of stationary B. SY(f) > 0 for |f| > 1 kHz
random process is a sinc-squared function C. SY(f) = 0 for f = nf0, f0 = 2 kHz, n any
of frequency, the shape of its auto- integer

correlation is D. SY(f) = 0 for f = (2n + 1)f0, f0 = 1 kHz,


n any integer
[2010 :2 Marks]
45. X(t) is a stationary random process with

autocorrelation function Rx(  )= exp (–2 ).

12
www.gradeup.co

This process is passed through the system D. cos(2  (t1 – t2))


below. The power spectral density of the [2014 :2 Marks, Set-1]
output process Y(t) is 48. The power spectral density of a real
stationary random process X(t) is given by
1
, |𝑓| < W
𝑆𝑥 (𝑓) {𝑊
0 |𝑓| > W
The value of the expectation
A. (4  f2+1)exp(-  f2)   1 
E   x (t). x  t –  is_____.
B. (4  f2–1)exp(-  f2)   4W  

C. (4  f2+1)exp(–  f) [2014 : 2 Marks, Set-2]

D. (4  f2–1)exp(–  f) 49. {X}nn = 


= – is an independent and identically

[2011 :2 Marks] distributed (i.i.d.) random process Xn


46. A power spectral density of a real process
equally likely to be + 1 or —1. {Yn}nn =
=
–
X(t) for positive frequencies is shown
is another random process obtained as Yn
below. The values of E[X2(t)] and
= Xn + 0.5Xn—1. The autocorrelation
|E[X(t)]|; respectively are.
function of {Yn}nn =
=
– denoted by Ry [k] is

6000 6400
A. ,0 B. ,0
 
6400 20 6000 20
C. , D. ,
       
   
 2  2
[2012 :1 Mark]
47. Consider a random process X(t) =

2 sin(2t + ) , where the random phase is

uniformly distributed in the inerval [0, 2 


]. The autocorrelation E[X(t1)X(t2)] is
A. cos(2  (t1 + t2))
B. sin(2π (t1 – t2))
C. sin2  (t1 + t2))

13
www.gradeup.co

50. An information source generates a binary SN(t)=0.5 W/Hz as input to a filter with
sequence n  .n can take one of the two impulse response 0.5e(–𝑡 2 /2) where t is in

possible values –1 and +1 with equal seconds) resulting in output Y(t). The

probability and are statistically power in Y(t) in watts is

independent and identically distributed. A. 0.11 B. 0.22

This sequence is precoded to obtain C. 0.33 D. 0. 44

another sequence n  , as n = n + kn–3 . [2018 :2 Marks]


54. Let a random process Y(t) be described as
The sequence n is used to modulate a
Y(t) = h(t) * X(t) + Z(t), where X(t) is a
pulse g(t) to generate the baseband signal
white noise process with power spectral

X(t) =  n g(t–nT) density Sx(f) = 5W/Hz. The filter h(t) has a
n=–
magnitude response given by |H{f)| = 0.5
1, 0  t  T for –5 < f < 5, and zero elsewhere. Z(t) is
where g(t) = 
0, otherwise
stationary random process, uncorrelated
1
If there is a null at f = in the power with X(t), with power spectral density as
3T
shown in the figure.
spectral density of X(t), then k is ______.
[2016 :2 Marks, Set-2]
51. Consider a random process X(t) = 3V(t) –
8, where V(t) is a zero mean stationary
random process with autocorrelation Rv(  )
= 4e–5|  |. The power in X(t) is _______.
[2016 :2 Marks, Set-2]
52. A wide sense stationary random process The power in Y(t), in watts, is equal to

X(t) passes through the LTI system shown ________W. (rounded off to two decimal

in the figure. If the autocorrelation function places).

of X(t) is Rx(  ), then the autocorrelation [2019 :2 Marks]

function RY(  ) of the output Y(t) is equal


PROPERTIES OF WHITE NOISE
to
A. 2RX(  ) + RX{  – T0} + RX(  + T0) 55. Consider a discrete-time channel Y = X +

B. 2RX(  ) - RX{  – T0} – RX(  + T0) Z, where the additive noise Z is signal-

C. 2RX(  ) + 2RX{  – 2T0} dependent. In particular, given the

D. 2RX(  ) – 2RX{  – 2T0} transmitted symbol X  {–a, +a} at any

[2016 :2 Marks, Set-3] instant, the noise sample Z is chosen

53. Consider a white Gaussian noise process independently from a Gaussian distribution

N(t) with two-sided power spectral density with mean  X and unit variance.

14
www.gradeup.co

Assume a threshold detector with zero If we choose p(t) = 1 (t) and q(t) =- 1
threshold at the receiver. (t), we would obtain a certain bit-error
When,  = 0, the BER was found to be probability Pb.
Q(a) = 1 × 10–8. If we keep p(t) = 1 (t), but take q(t) =

1 2 √𝐸𝜑2 (t), for what value of E would we
(Q(v)=  e–u du,
2 v obtain the same bit-error probability Pb?
–v2/2
and for v > 1, use Q(v) = e ) A. 3 B. 1
When,  =- 0.3, the BER is closest to C. 2 D. 0

A. 10–7 B. 10–6 [2019 :2 Marks]

C. 10–4 D. 10–2
FILTERING OF RANDOM SIGNALS USING
[2014 :2 Marks Set-4]
LTI SYSTEMS
56. An antenna pointing in a certain direction
58. A zero-mean white Gaussian noise is
has a noise temperature of 50 K. The
passed through an ideal lowpass filter of
ambient temperature is 290K. The antenna
bandwidth 10kHz. The output is then
is connected to a pre-amplifier that has a
uniformly sampled with sampling period t s
noise figure of 2 dB and an available gain
= 0.03 msec. The samples so obtained
of 40 dB over an effective bandwidth of
would be
12MHz. The effective input noise
A. correlated
temperature Te for the amplifier and the
B. statistically independent
noise power Pao at the output of the
C. uncorrelated.
preamplifier, respectively, are
D. orthogonal.
A. Te = 169.36 K and Pa0 = 3.73 × 10–10 W
[2006 :2 Marks]
B. Te = 170.8 K and Pa0 = 4.56 × 10–10 W
Common Data for Questions 59&60:
C. Te = 182.5 K and Pa0 = 3.85 × 10–10 W
The following two questions refer to wide
D. Te = 160.62 K and Pa0 = 4.6 × 10–10 W
sense stationary stochastic processes.
[2016 :2 Marks, Set-1]
59. It is desired to generate a stochastic
57. A single bit, equally likely to be 0 and 1, is
process (as voltage process) with power
to be sent across an additive white
spectral density
Gaussian noise (AWGN) channel with
power spectral density N0/2. Binary 16
S() =
16 + 2
signaling, with 0 → p(t) and I → q(t), is
by driving a Linear-Time-Invariant system
used for the transmission, along with an
by zero mean white noise (as voltage
optimal receiver that minimizes the bit-
process) with power spectral density being
error probability.
constant equal to 1. The system which can
Let 1 (t), 2 (t) form an orthonormal
perform the desired task could be
signal set.

15
www.gradeup.co

A. first order lowpass R-L filter. A. 5 × 10–7W B. 1 × 10–6W


B. first order highpass R-C filter. C. 2 × 10–6W D. 1 × 10–5W
C. tuned L-C filter. [2006 :1 Marks]
D. series R-L-C filter. 63. A real band-limited random process X(t)
[2006 :2 Marks] has two-sided power spectral density
60. The parameters of the system obtained in
𝑆𝑥 (𝑓)
the above question would be –6
10 (3000)–|𝑓| Watts/Hz for |𝑓| <3kHz
A. first order R-L lowpass filter would have ={
0,
R = 4  , L = 1H where f is the frequency expressed in Hz.
B. first order R-C highpass filter would
The signal x(t) modulates a carrier
have R = 4  , L = 0.25F
cos16000  t and the resultant signal is
C. tuned L-C filter would have L = 4H,
passed through an ideal band-pass filter of
C = 4F
unity gain with centre frequency of 8 kHz
D. series R-L-C lowpass filter would have
and band-width of 2 kHz. The output
R = 1  , L = 4H, C = 4F
power (in Watts) is _______.
[2006 :2 Marks]
61. Noise with double-sided power spectral [2014 : 2 Marks, Set-3]

density of K over all frequencies is passed 64. A zero mean white Gaussian noise having

through a RC low pass filter with 3 dB cut- N0


power spectral density is passed
off frequency of fc. The noise power at the 2

filter output is through an LTI filter whose impulse

A. K B. Kfc response h(t) is shown in the figure. The

C. K  fc D.  variance of the filtered noise at t = 4 is

[2008 :2 Marks]
62. A white noise process x(t) with two-sided
power spectral density 1 × 10 –10 W/Hz is
input to a filter whose magnitude squared
response is shown below.

3 2 3 2
A. A N0 B. A N0
2 4

1 2
The power of the output process y(t) is C. A2N0 D. A N0
2
given by [2015 :2 Marks, Set-2]

16
www.gradeup.co

17
www.gradeup.co

65. Let X(t) be a wide sense stationary random 66. A random variable X takes values –1 and
process with the power spectral density +1 with probabilities 0.2 and 0.8,
Sx(f) as shown in figure (a), where f is in respectively. It is transmitted across a
Hertz (Hz). The random process X(t) is channel which adds noise N, so that the
input to an ideal lowpass filter with the random variable at the channel output is Y
frequency response
= X + N. The noise N is independent of X,
 1
1, |f| < Hz and is uniformly distributed over the
H(f)=  2
0, |f| > 1 interval [–2, 2]. The receiver makes a
Hz
 2 decision
as shown in figure (b). The output of the –1, if Y < 
X= 
lowpass filter is Y(t). +1, if Y > 

Where the threshold   [−1,1] is chosen so

as to minimize the probability of error,

rounded off to 1 decimal place, is

________.

[2019 : 2 Marks]

67. X is random variable with uniform

probability density function in the interval

[-2, 10]. For Y = 2X –6, the conditional

probability (rounded off

to three decimal places) is ….. .

[2020 : 2 Marks]

68. The random variable


LetE be the expectation operator and
consider the following statements:
I. E(X(t)) = E(Y(t))
II. E(X2(t)) = E(Y2(t))
III. E(Y2(t)) = 2 Where
Select the correct option:
A. Only I is true. And W(t) is a real white Gaussian noise

B. Only II and III are true. process with two-sided power spectral

C. Only I and II are true. density SW(f) = 3 W/Hz, for all f. The

D. Only I and III are true. variance of Y is


[2017 :2 Marks, Set-1] [2020 : 1 Marks]

18
www.gradeup.co

69. The two sides of a fair coin are labelled as defined as X = min(M,N), the expected
0 and A. The coin is tossed two times value E(X) (rounded off to two decimal
independently. Let M and N denote the places) is .
labels corresponding to the outcomes of [2020 :1 Marks]
those tosses. For a random variable X,

ANSWER

1. C 2. A 3. B 4. C 5. B 6. A 7. B 8. A 9. A 10. A

11. B 12. B 13. D 14. C 15. C 16. D 17. 0.32 to 0.34 18. B 19. 50

21. 25. 26.


20. 0.35 22. 2 23. 31.503 24. C 27. D 28. 0.25
0.16 0.8 1.5

29. 6 30. 2 31. 11 32. 0.37 33. A 34. A 35. B 36. D 37. D 38. C

39. A 40. B 41. B 42. C 43. B 44. D 45. A 46. B 47. D 48. 4

51. 54.
49. B 50. –1 52. B 53. B 55. C 56. A 57. A 58. A
100 0.225
66. 67.
59. A 60. A 61. C 62. B 63. 2.5 64. D 65. A 68. 6
0.1 0.3

69. 0.25

SOLUTION

1. Since, Px(x) is continuous function 3.


∞ 1
𝑚2 = ∫−∞ 𝑥 2 𝑓(𝑥) 𝑑𝑥 = ∫0 𝑥 2 𝑓(𝑥)𝑑𝑥

Hence, probability at point [x =4 ] tends to 1 1


2
 x3 
zero.
 x dx =   = 1 – 0.027
 3 0.3
0.3

2. Probability distribution function of x is the m2 = 0.324


m = 0.5695 ≅ 0.57
function Fx(x) = P(X ≤ x) for every x from

− to . 4.  P(v)dv = 1
−
and P{x1< X ≤ x2} = Fx(x2) – Fx(x1)
1 1
 4k = 1  k =
then, at X = 1, 2 2
v
P{1–< X ≤ 1+} = Fx(1+) – Fx(1–)  P(v) =
8
= 0.55 – 0.25= 0.30 Now, mean square value

19
www.gradeup.co

 4 2.5 2.5
1
=  v2P(v)  dv =  v2P(v)  dv =  fx (x)  dx =  dx = 0.5
− 0 −2.5 −2.5
10

4 4 Hence, 𝑓𝑌 (𝑦) = ∑2𝑖=1 𝑃(𝑌 = 𝑦𝑖 ) 𝛿(𝑦 − 𝑦𝑖 )


v2v 1  v4 
=  dv =   = 8
0
8 8  4 0 = P(Y = 0)  (y − 0) + P(Y = 1)  (Y − 1)

 = 0.5  (Y) + 0.5  (Y − 1)


5.  P(x)dx = 1
− 8. Var [x] = 2x = E[(x − x )2 ]
Since, equiprobable, hence each has same Where, x = E[x]
1
probability = x = expected or mean value of X
3

1 1
 2a  =
4 3
Defining, E[X] =  x fx (x)dx
−

2 
 a=
3 =  x   (xi )  (x − xi ) dx =  xi (xi )
−  i  i
6. Quantization noise power
a
Variance 2x is a measure of the spread of
2 1 [x3 ]a−a
=  f(x)x dx =
4 3 the values of X from its mean x .
−a

3 Using relation, E[X + Y] = E[X] + E[Y]


2
1 3 3 a3  3  4 and E[CX] = CE[X]
= [a + a ] = = =
12 6 6 81
on var[X] = 2x = E[(X − x )2 ]
7.
2x = E[X2 ] − 2x = E[X2] – E2[X]

x
9. CDF : F(x) =  (PDF) dx
−

2
Now, fY (y) =  P(Y = yi ) (y − yi )
i =1

For i = 1, yi = 0
P(Y = 0) = P(X < 2.5) + P(2.5 ≤ X <  )
−2.5 ∞ x
= ∫−∞ 𝑓𝑥 (𝑥) ⋅ 𝑑𝑥 + ∫2.5 𝑓𝑥 (𝑥) ⋅ 𝑑𝑥 x2 1
−2.5 5
For x < 0, F(x) =  (x + 1) dx =
2
+x+
2
1 1 −1
=  10
dx + 
10
dx = 0.5
(concave upwards)
−5 2.5

For i = 2, Y2 = 1, 1
F(0) =
2
P(Y = 1) = P(–2.5 ≤ X ≤ 2.5)

20
www.gradeup.co

x
F or x > 0, F(x) = F(0) +  (−x + 1) dx
0

1  −x2 
= + + x  (concave downwards)
2  2 
Hence the CDF is shown in the figure (b).
10. Given : Px(x) = Me–2|x| + Ne–3|x|
For PDF < Px(x),

 Px (x) dx = 1 
− Output noise power =  SNo (f).df

−2|x|
 Px (Me + Ne−3|x|) dx = 10–20 (W/Hz) × 2 × 106 Hz
−
= 2 × 10–14 W.

= 2  (Me−2|x| + Ne−3|x|) dx = 1  Mean square value = power of signal.
0 2
= 2 × 10−14
𝜑2
2
 M+ N=1  𝜑 = 107
3
11. Both the teacher and student are wrong 13. When a ‘1’ is transmitted : Yk = a + Nk.

n a
Threshold, Z = = 10−6
X = (Mean) =  piki 2
i =1
 a = 2 × 10–6.
= 0.1 + 0.4 + 1.2 + 0.8 + 0.5
For error to occur, Yk< 10–16
= 3.0
2 × 10–6 + Nk< 10–6
𝑋̄ 2 = ∑𝑛𝑖=1 𝑝𝑖 𝑘𝑖2
 Nk< –10–6.
= 0.1 × 1 + 0.2 × 4 + 0.4 × 9 + 0.2
× 16 + 0.1 × 25 −10−6
0 −10−6

= 0.1 + 0.8 + 3.6 + 3.2 + 2.5


P  =
1  PNK (n)dn = ∫−∞ 0.5 ∝ 𝑒 ∝𝑛 𝑑𝑛
−
= 10.2
0
P   = 0.5e−10 with ∝ = 107 .
12. Output noise power 1
=|H(t)|2.(Input noise PSD) When a ‘0’ is transmitted : Yk = Nk.
SNo (f) = |H(f)| . SNi (f) = 10
2 –20
.|H(f)| .
2
For error to occur, Yk> 10–6

21
www.gradeup.co

1
 ∵W=3V–2U
 P  =
0  PNK (n).dn
 1 1
10−6  W = N  0, 9  + 4   = N(0,2.7)
 4 9
= 0.5 × e–10.
Hence W is Gaussian variable with 0 mean
Since both bits are equiprobable.
having pdf curve as shown below:
1
P(0) = P(1) =
2
The probability of error

0 1
= P(1).P   + P(0).P  
1 0

0 1
P  +P 
=  1   0  = 0.5 × 10–10.
2
14. −1 ≤ 𝑥 ≤ 1 and −1 ≤ 𝑦 ≤ 1 Is the entire 1
 P(W  0) = = Area under the curve from
rectangle. 2

The region in which maximum of {x, y} is 0 to (∞)

less than 1/2 is shown below as shaded 16. Let distribution function U and V be Fu(u)

region inside this rectangle. and


Fv(v) respectively.
Fv(u) = Fv(v) = F(x)
CDF of U and 2V are F(x) and G(x).
CDF is Fu(u) = P(u ≤ u) = F(x).
Fv(u) = P(v ≤ u)

 v
F2v(v)= P(2V ≤ v) = P  V   = G(x).
 2
Since U and V are identical, u and v are
3 3
1 𝑎𝑟𝑒𝑎 𝑜𝑓 𝑠ℎ𝑎𝑑𝑒𝑑 𝑟𝑒𝑔𝑖𝑜𝑛 × 9
𝑃(max{𝑥, 𝑦} < = = 2 2
= also same, i.e., u = v = x.
2 𝑎𝑟𝑒𝑎 𝑜𝑓 𝑒𝑛𝑡𝑖𝑟𝑒 𝑟𝑒𝑐𝑡𝑎𝑛𝑔𝑙𝑒 2×2 16

15. The probability For positive value of random variable U

P(3 V – 2 U) = P(3 V – 2 U ≥ 0) and V; if x1> x2 then

= P(W ≥ 0) Fx(x1) > Fx(x2).

Where W = 3 V – 2 U x
F(x) > G(x) x
2
U and V are independent random variables
F(x) – G(x) > 0 ...(i)
and can be expressed in terms of mean
For negative value of random variable
and variance as shown below:
v
 1 U and V;  u.
U = N  0,  2
 4
G(x) – F(x) > 0 …(ii)
 1
V = N  0,  For zero value of random variable
 9

22
www.gradeup.co

U and V, i.e., x = 0 1
P{Q(r) = x1} =
F(x) = G(x) 2

F(x) - G(x) = 0 …(iii) 1
  2.e−2x dx =
Combining equations (i), (ii) and (iii), xT
2

[F(x) – G(x)] x ≥ 0. 
e−2x 1
17. X1, X2, X3 are independent and identically 2 =
−2 2
xT
distributed random variables.
1
So P{X1 is the largest} = 1/3 = 0.33 −e−2 + 2−2x T =
2
18. Variance, 2x = E(x2) – [E(x)]2
1
e−2x T =
2x can never be negative; i.e., 2x ≥0 2
1
E(x2) ≥ [E(x)]2. −2x T = ln = –0.693
2
19. X = 1, 3, 5, …., 99
xT = 0.35
 n = 50 (number of observations)
21. Given x1x2 and x3 be independent and
n
1 1 identically distributed with uniform
 E(x) = 
n i =1
xi =
50
[1 + 3 + 5 + ... + 99]
distribution on [0, 1]
1 Let z = x1 + x2 – x3
= (50)2 = 50
50  P{x1 + x2 ≤ x3} = P(x1 + x2 – x3 ≤ 0)
20. = P{z ≤ 0}
Let us find probability density function of
random variable z.
Since Z is summation of three random

One bit quantizer will give two levels. variable x1, x2 and –x3
Overall pdf of z is convolution of the pdf of
1
Both levels have probability of
2 x1x2 and –x3

Pdf of input X is Pdf of {x1 + x2} is

Pdf of –x3 is
Let xT be the threshold

 x , x  XT 
Q(x) =  1 
x2 , x  x T 
Where x1 and x2 are two levels

23
www.gradeup.co

0 0 C
(z + 1)2 (z + 1)3  log2 (1 + S/N) =
P{z  0} =  2 dz = 6 B
−1 −1
 (1 + S/N) = 2C/B = 252/4
1
= = 0.16 = 213 = 8192
6
 S/N = 8191
1
22. Let __________P{x = 2}______ = = ,
3  S = 8191 5 N
2  S = 8191 × 4 × 103 × 2.5 × 10–5 × 2
P{x = 0} =
3
= 819.1 × 2
To find H(Y1) we need to know
819.1  2
Eb = = 31.503
P{Y1 = 0} and P{y2 = 1} Rb
P{Y1 = 0} = P{Y1 = 0/x1 = 0}P{x1 = 0}
So the minimum bitenergy (Eb) is
+ P{y1 = 0/x1 = 1} P{x1 = 1}
31.503 mJ/bit.
1 1 1 2 1
=  +  = 24. H1 : x = +1; H0 : x = –1
2 3 2 3 2
P(H1) = 0.75; P(H2) = 0.25
1
P{y1 = 1} =
2 Received signal  = X + Z

1 1 1 2 /22
 H(y1 ) = log22 + log22 = 1 Where Z ~ N(0, –2); fz(z) = e−z
2 2  2
1
Similarly, P(y2 = 0) = 1 + Z if X = 1
2 Received signal  = 
 −1 + Z if X = −1
1
and P{y2 = 1} = 1
2 − (y −1)2
1 22
fy (y/H1 ) = e
 H{y2} = 1  2
 H{y1} + H{y2} = 2 bits −
1
(y +1)2
1 22
23. From given data, fy (y/H0 ) = e
 2
Channel transmission rate (C) = 52 kbps
At optimum threshold yopt : for minimum
Channel band width B = 4 kHz
probability of error

= 2.5  10−5 𝑓𝑦 (
𝑦
)
2 𝐻1
𝑦 | =
𝑃(𝐻0 )
𝑓𝑦 ( 𝑃(𝐻1 )
𝐻0 𝑦
N = 4 × 103 × 2.5 × 10–5 × 2 𝑜𝑝𝑡

1
− [(𝑦−1)2 −(𝑦+1)2 ] 𝑃(𝐻0 )
 S 𝑒 2𝜎2 | =
C = B log2 1 +  𝑦𝑜𝑝𝑡
𝑃(𝐻1 )
 N
S = 1638.2 +2yopt / 2 P(H0 )
e =
P(H1 )
S J/sec
Eb = = = 31.503 𝜎2 −1.1𝜎 2
Rb bits/sec 𝑦𝑜𝑝𝑡 = 𝑙𝑛 (
𝑃(𝐻0 )
)= = −0.55𝜎 2
2 𝑃(𝐻1 ) 2
C yopt = Optimum threshold
= log2 (1 + S/N)
B
yopt< 0  Threshold is negative

24
www.gradeup.co

25
www.gradeup.co

25. Give channel X Y Z


0 0 0
0 1 1
1 0 1
1 1 2

From above table,


P{X + Y + Z}  P < Z ≥ B

P{Z ≥ 1} = P{X = 0 and Y = 1} + P{X = 1


We have to determine, P{x = 0/y = 0}
and Y = 0} + P{X = 1 and Y = 1}
P{y = 0/x = 0}P{x = 0}
P{x = 0/y = 0} = = 1 – P{X = 0 and Y = 0}= 1 – pq
P{y = 0}

=
1  1/2
=
4
= 0.8 28. y(t) =  XnP(t − nT − )
1 5 n =−
1  1/2 + 0.25 
2
 
26. Let x be a random variable which denotes R yy(z) = 1 − 
 T
number of tosses to get two heads.
Derivation of above autocorrelation
1 1 function can be found in any book dealing
P(x = 2) = HH = 
2 2
with random process.
1 1 1
P(x = 3) = THH =  
2 2 2  3T   3 /4  1
R yy   = 1− = = 0.25
 4     4
1 1 1 1
P(x = 4) = TTHH =   
2 2 2 2 1
29. Given : PDF f(x) = x .e−x .
2
……………………………………………….
V(x) = E(x2) – [E(x)]2
1 1 1 1 1
E(x) = 2    + 3       
2 2 2 2 2 1
E(x) =  xf (x) dx =  x ex dx = 0
− −
2
1 1 1 1
+      + ....
2 2 2 2 function is odd.
1 1 1 
= 2 + 3 + 4 + .... E(x)2 = x2f(x)dx
22
2 3
2 4 
−
1 1 1 1
= [2 ⋅ + 3 ⋅ +4× +. . . . . . ] 
2 2 22 23 1
=  x2 x e−x dx = 6.
1  1 1   −
2
= 1 + 2  + 3 2 + ..... − 1
2  2 2  
V(x) = 6.
1 1 −2 1 3 30. Process, x(t) = U + Vt.
= [(1 − ) − 1] = [4 − 1] =
2 2 2 2
At t = 2, x(2) = U + 2V.
27. P{x = 0} = P  P{x = 1} = 1 – p
E[x(2)] = E[U + 2V]
P{y = 0} = 0  q{y = 1} = 1 – q
E[x(2)] = E[U] + 2E[V]
Let Z = X + Y
E[U]= 0.

26
www.gradeup.co


33. (PSD) Sg = ∫−∞ 𝑅(𝑥) (𝜏)𝑒 −𝑗𝑤𝜏 𝑑𝜏

1 T /2
R x () = LT
T → T − T /2 g(t) g(t + ) dt
1 T /2 2
Pg = LT
T → T − T /2 g(t) dt

For a g(t), Sg = a2Sg

Pg = a2Pg
Also given that V is uniformly distributed
between 0 and 2. 34. Var[d(n)] = Var[x(n) – x(n – 1)]

1
2  E[{x(n) – x(n – 1) }2]
 E[V] =  fv (v) dx =   2  dv = 1.  E[x2(n)]+E[x2(n–1)]–2E[x(n).x(n – 1)]
− 0

 E[x(2)] = 0 + 2 × 1= 2.  Var[x(n)] + Var[x(n – 1)] – 2Rxx(–1)

31. Given, E[2x + y] = 0 [shifting does not effect variance]

And E[x + 2y] = 33 2d = 2x + 2x − 2R xx (1)… even symmetric


 2E(x) + E(y) = 0 …(i)
2x
 E(x) + 2E(y) = 33 …(ii) = 22x − 2R xx (1)
10
Solving eq. (i) and (ii) we get
R xx (1) = 0.95 2x
E(y) = 22 and E(x) = –11
R xx (1)
 E(x) + E(y) = –11 + 22 = 11  = 0.95
2x
 E(x) + E(y) = 11
35.
32. Given, Cumulative distribution function,
1 − e−x if x  0
Fz (x) = 
 0 if x  0

→ probability density function.

e−x ; x  0
Fz (x) = fz (x) = 
 0; x  0

Where Z be an exponential R.V with mean


‘1’
Using conditional probability
 z  2  Pr[z  2  z  1]
 Pr  =
 z  1  Pr [z  1]
∞ ∞
𝑃𝑟[𝑧>2] ∫2 𝑓(𝑥)𝑑𝑥 ∫2 𝑒 −𝑥 𝑑𝑥
= = ∞ = ∞
𝑃𝑟[𝑧>1] ∫1 𝑓(𝑥)𝑑𝑥 ∫1 𝑒 −𝑥 𝑑𝑥

−x 
 z  2  [−e ]2 [0 + e−2 ] Sni (f) = input white noise spectral density
 Pr   = =
 z  1  [−e−x ]1 [0 + e−1]
H(f) = ideal frequency detector = Output
e−2 1 power spectral density
= =  0.37
−1 e
e Sno(f) = |H(f)|2 . Sni (f)

27
www.gradeup.co

36. Now, E[W2] = E[Y2] + E[Z2] – 2E[YZ] ….(i)


E[X2(t)] = Rx(0) = 4[e–0.2|0| + 1] = 8
And, E[Y2] = E[X2(2)] = 8
E(Z2) = E2[X2(4)] = 8
E[YZ] = RX(4 – 2) = RX(2)
[As E[X(t) X(t + J) = RX(J)]
Using central limit theorem
RX(2) = [e–0.2|4 – 2| + 1] = 6.68
Z=X+Y
then from equation (i),
fZ(Z) = fX (X)  fY(y)
E[W2] = 8 + 8 – (2 × 6.68) = 2.64
39. Total noise-power at the receiver is,

1
E[Y2 (t)] =  Syy () d = N0W
2 −

= 10–20 × 100 × 106= 10–12 Watt.

1 1 1
P(Z  −2) = 1 =
6 2 12

37. Variance of x(t), x2 = X2 − 2

 is the mean value of x(t) and  = 2

X2 _________ = Rx(0) = 8

then x2 = 8 − 4 = 4
Commulative distribution function,
Now, F(X) = 1 – F(–X) and F(–X) = Q(X) 1  10−3
Signal – to – noise ratio = = 109
10−12
Now, P(x ≤ 1) = Fx(x)
In decibel, 10 log109 = 90 dB
F(X) is expressed as with mean  and
Considering loss of 40 dB, the SNR at the
variance x2
receiver is (90 – 40) dB = 50 dB.
x − x − 2
Fx (x) = F   = F  4  40. H() = 2 exp (− jtd )

 x 
Or 𝐻(𝑓) = 2 𝑒𝑥𝑝( − 𝑗2𝜋𝑓𝑡𝑑 )
1 − 2 
and P(X  1) = Fx (1) = F   W
 4  Nf (f) = No
Hz
 −1  1
= F  = Q 
 2  2
38. Y = X[2], Z = X[4]
E[W ]= E[Y – Z] = E[Y] – E[Z]
= E[X(2)] – E[X(4)]= 0
[As x(t) is a random process having count
at value of 2]

28
www.gradeup.co

Output none power spectral density 𝑅(𝜏) ↔


𝐹.𝑇.
𝑆(𝑓)
W Fourier transform of triangular pulse is sine
No(f) = |H(f)|2Ni(f) = 4No
Hz
squared function
PSD of LPF is shown below
  F.T.
A 1 −  ⎯⎯⎯ → AT sin2[fT].
 T

44.

In output non passes through LPF, then


PSD at the output of LPF will be as shown
here

d
y(t) = [x(t) + x(t − T)]
dt

y(f) = [x(f) + e− j2T  (f)] j

 = j [1 + e− j2fT ]
H(f)

= [1 + cos 2fT − j sin2fT] j


Output noise power is the area under the
 |2 = 2.2.(1 + cos 2fT)
| H(f)
curved= 4No × 2B = 8NoB
 PSDo = |H(f)|2PSDi
2
X (j)
41. Power spectral density, S() = lim = 22[1 + cos(.2fT)]PSDi
→ 
(2n + 1)
So for wide sense stationary random  [1 + cos(2fT)] = 0; for f =
2T
process, S(f) ≥ 0
45. In terms of fourier transform,
42. R() is auto correlation function
F[x(t)] = x(f)
1 𝑇/2
𝑅(𝜏) = ∫ 𝑉(𝑡) 𝑉(𝑡 + 𝜏)𝑑𝑡 F[y(t)] = f(f)
𝑇 −𝑇/2

and𝑅(−𝜏) =
1

𝑇/2
𝑉(𝑡) 𝑉(𝑡 − 𝜏)𝑑𝑡 Then, y(f) = x(f) H(f) – x(f)
𝑇 −𝑇/2
y(f) = x(f)[H(f) – 1]= x(f) [j2  f – 1]
Let𝑡 − 𝜏 = 𝜎
T /2 Power spectral density of y(f),
1
 R(−) = lim
T → T  V( + ) V() d
Sy (f) = [j2f − 1]2  Su(f)
− T /2

𝑅(−𝜏) = 𝑅(𝜏) (even function) [Using Sy(f) = |H(f)2|]  Sxf]

43. Auto-correlation function and power Hence H(f) is the transfer characteristic of

spectral density form fourier transform the filter.


2)
pair, Given, 𝑅𝑥 (𝑇) = 𝑒 −(𝜋𝑡

29
www.gradeup.co

2 2 2 1
𝑆𝑥 (𝑓) = 𝑒 −(𝜋𝑓 ) [e−t ⎯⎯
→ e−f ] = 2
2
sin(2t1 + ) sin(2t2 + )   d
0 2
2
as e−t is a Gaussian function. 1 2
2 0
= sin(2(t1 + t2 ) + 2) d
2
then, Sy (f) = e−f  [1 + 42f 2 ]
1 2
2 0
+ cos (2(t1 − t2 )) d
46. PSD of x(t)
First integral will result into zero as we are
integrating from 0 to 2.
Second integral result into cos[2(t1 − t2 )]

 E[X(t1 )X(t2 )] = cos(2(t1 − t2 ))


E[x2(t)] = Rxx (0) 1 
 , f  
1
 48. Given Sx (f) =   
Rxx (0) =  Sxx () d
2 −
 0,
 f  

R xx ()  Sxx () 1 j2ft 1 e j2t − e− j2t
R x (t) =  
e df =
 j2t
−
Fourier transform pair
1 1 1 1  sin(2t) 
=  2  103  6 + =  

2  2 2  t 

  1   1 
2  103  6 + 400 + 400 Now, E    (t)  x  t −   = R x  
   4    4w 

6400  1 
= sin  2w  
 1  4w  = 4
  
w 1 1
Since PSD of x(t) does not contain any DC 
4w
component, the mean value of x(t) is zero.
49. RY(k) = Ry(n, n + k)= E[Y(n).Y(n + k)]
47. Given X(t) = 2 sin(2t + )
Y(n) = x(n) + 0.5x(n – 1)
RY(k) = E[(x[n] + 0.5x [n – 1])(x(n + k)
+ 0.5x(n + k – 1)
= E[(x[n]].x(n + k) + x(n)0.5 x(n + k – 1)
+ 0.5x(n – 1).x(n + k) + 0.25 x(n – 1)
x(n + k – 1)
= E[x[n].x(n + k) + 0.5E[x(n)
x(n + k – 1)]+ 0.5E[(x(n – 1)x(n + k))]
 in uniformly distributed in the interval + 0.25E[x(n – 1)x(n + k – 1)]]

[0,2] = Rx(k) + 0.5Rx(k – 1) + 0.5Rx(k + 1)


+ 0.25Rx(k)
E[X(t1)X(t2)]
2
Ry(k) = 1.25Rx(k) + 0.5Rx(k – 1)
= 0 2 sin(2t1 + ) 2 sin(2t2 + )f () d
+ 0.5Rx(k + 1)

30
www.gradeup.co

Rx(k) = E[x(n).x(n + k)] 1 + k2 , =0



If k = 0 Rb () =  k,  = 3

1 1  0, otherwise
Rx(0) = E[x2(n)] = 12  + (−1)2  = 1
2 2 Power spectral density,
If k  0, Sb(f) = 1 + k2 + 2k cos(2f.3T)
Rx(k) = E[x(n).E[x(n + k)] = 0
1 1
Null will occur at f = f = .
 E[x(n)] = 0  3T 3T
 
 E[x(n + k)] = 0
 1  2
sb  f =  = 1 + k + 2k.cos 2 = 0
 Ry(0) = 1.25Rx(0) + 0.5Rx (–1)  3T 
+ 0.5Rx(1)= 1.25 1 + k2 + 2k = 0
Ry(1) = 1.25Rx(1) + 0.5Rx(0) + 0.5Rx(2) (k + 1)2 = 0
= 0.5 K = –1
Ry(–1) = 1.25Rx (–1)+0.5Rx(–2)+ 0.5Rx(0) 51. Given random process
= 0.5 X(t) = 3V(t) – 8 and E[V(t)] = 0
Ry(k) for k other than 0, 1 and –1 = 0
R v () = 4e−5 
 Ry(k)
Power of X(t) = E[X2(t)]
= E[9V2(t) + 64 – 48E[V(t)]
=9E[V2(t)] + 64 – 48E[V(t)]
E[V2(t)] = RV(0) = 4
Power of X(t) = ((9 × 4) + 64)= 100
Hence the power in X(t) is 100.
52. Y(t) = X(t) – X(t – To)
50. Power spectral density of x(t) = sx (f)
|𝑎(𝑓)|2
Autocorrelation function for o/p= Ry(  )
𝑆𝑥 (𝑓) = ⋅ ∑∞
𝑛=−∞ 𝑅𝑏 (𝜏). 𝑒
𝑗2𝜋𝑓𝑛𝜏
𝑇
= E[y(t)Y(t +  )]
Rb () = E[nn − ]
Ry(  ) = E[(X(t) – X(t – To)][X(t +  )
= E[n + k n −3 (n − +k n −−3 )] – X(t +  – To)]

Rb () = E[n n − ] + KE[n −3 n − ] Ry(  ) = E[(X(t)X(t +  ) – X(t) X(t+  – To)


– X(t – To) X(t +  ) + X(t – To)
+KE[n n−−3 ] + K3E[n−3 n−−3 ]
X (t +  – To)
Rb () = E[n n − ] + KE[n −3 n −3 + 3 − ]
Ry(  ) = [Rx(  )-Rx(  – To) – Rx(  + To)
+ KE[n n−−3 ] + K2E[n−3 n−−3 ] + Rx(  )]

𝑅𝑏 (𝜏) = 𝑅(𝜏) + 𝐾𝑅(𝜏 + 3) + 𝐾𝑅(𝜏 − 3) + 𝐾 2 𝑅(𝜏). Ry(  ) = 2Rx(  ) – Rx(  – To) – Rx(  + To)

Rb () = (1 + K2 )R() + KR( + 3) + KR( − 3).

Auto-correlation function,

31
www.gradeup.co

So, the auto correction function of Ry(  ) of 1


1 − (Z −x)
2
fz (z) = e
the output Y(t) is 2Rx (  ) – Rx(  – To) – 2
Rx(  + To).
−a + z if x = −a
=
53. PSD of noise input, a + z if x = +a
SN(f) = 0.50 W/Hz. H1 : x = +a
Power of y(t),
H0 : x = –a
 
2
df = 0.5  H (f)2dt and Threshold = 0
Py =  SN (f) H(f) 1
− − 1 2
𝑓𝑦 (𝑦/𝐻1 ) = 𝑒 −2(𝑦−𝑎(1+𝛽))
√2𝜋
− t2
1 1
h(t) =  e 2 1 − (y + a(1+))2
2 fy (y/H0 ) = e 2
2
2
  −t2  
1 1 2  dt = 1 e−t2 dt b =  BER :
py =   2 e
2 −

 
8 − 8 Pe = P(H1)P(e/H1) + P(H0)P(e/H0)
py = 0.2215 W. 1
0
1
1
− (y − a(1+)) 2

 Power in 
= 
2 − 2
e 2 dy
54. Power in y(t) =   + [Power in
h(t)  X(t)
0 1 2
1 1 − (y + a(1+))
Z(t)] +  e 2
2 − 2

2
Power in h(t) × X(t) =  H(f) Sxx (f) df = Dy = Q(a(1 +  ))
−
∞ 5  =0
∫−∞|𝐻(𝑓)|2 (5)𝑑𝑓 = ∫−5(0.25) (5)𝑑𝑓
2 /2
= (10)(1.25) = 12.5 W Pe = Q(a) = 1 × 10–8 = e−a
Power in S2(f) = Area under powrspectal  a = 6.07
density  = –0.3
1 Pe = Q(6.07 (1 – 0.3))= Q(4.249)
Pz(t) =   (10)(1) = 5W
2
2 /2
Pe = e−(4.249) = 1.2  10−4
 Power in y(t) = (12.5 + 5)W = 17.5 W
Pe = 10–4.
56.

1
55. X  [−a, a] and P(x = –a) = P(x = a) =
2
 = X + Z → Received signal 10 log10 NF = 2dB

Q(a) = 1 × 10–8 Log10 NF = 0.2

Z ~ N(  , X, 1) NF = 100.2

32
www.gradeup.co

Noise temperature = (NF – 1)To


= (100.2 – 1) 290°= 169.36 K
Noise power (at i/p)= kTeB
= 1.38×10–23 × (169.36 + 50) × 12 × 106
Noise power (at o/p)
= (3.632 × 10–14) × 104
= 3.73 × 10–10 Watts Inverse Fourier transform of So (f) is
57. Case 1 :
P(t) = 1(t), q(t) = −1(t)

 𝑅𝑥 (𝜏) ≠ 0 at  = 0.03 ms.


Hence samples obtained are correlated.
16
Case 2 : 59. Power spectral density, S0(  ) =
16 + 2
P(t) = 1(t) q(t) = E 2(t)
Si() ⎯⎯⎯
→ H() ⎯⎯⎯
→ So ()

 So () = H ()2.si()

16
= H()2.1
2
16 + 
4 1
H() = = ….(i)
16 + 2  
2
1+ 
4
It is a first-order low-pas R-L filter.
For same probability of error distance
1
60. H() =
between points should be same for both 2

cases 1+ 
R
 
 E +1 = 2  E = 3 L 

58.

33
www.gradeup.co

Comparing eq. (i) and eq (ii) 63.

R 10−6 (3000− | f |) Watts / Hz for | f |  3 kHz


= 4  R = 4L Sx = 
L
0 otherwise
If L = 1 H then R = 4.

61.

Frequency response of the RC filter is,


PSD
If x(t) ⎯⎯⎯
→ Sx (f)
1
H() =
1 + jRC Then
1
𝜔 = 2𝜋𝑓 = PSD Sx (f − fc ) + Sx (f + fc )
𝑅𝐶 x(t) cos 2fc t ⎯⎯⎯

4
Sxx () = k [While noise process]
∴ PSD of x(t) cos2x × 8000t
2 1
Syy () = H()  Sxx () = k
1 + (RC)2

2
 1  2
  k
Syy () = k  RC  =  RC
2 2(RC) 2
 1   1 
2 +   2 +  
 RC   RC 

Inverse fourier transform gives

k
Ryy(J) = e−|J|/RC Now, BPF is given by
2RC

Noise power at the output,

k
E(Y2(t)) = RYY(0) = = fck
2RC

62. Power of the output process Y(t),

Y(t) = |H(t)2.X(t)|

N0 We know that
X(t) = = 1  10−10 W/HZ
2
|H(t)|2 = area under curve |n(t)|2

1 
= 2   10  103  1  = 104 Hz
2  ∴ PSD of BPF output
Then, v(t0 )= 10 –6
W

34
www.gradeup.co

Output power = total area of output PSD Now, E[Y(t)] = H(0) E[X(t)]
  2  10−3  1 10−3   And H(0) = 1
= 2 (2000)   + 2   1000  
  4  2 4  
    E[Y(t)] = E[X(t)]

 1 Now E[Y2(t)]  E[X2(t)]


= 2 1 +  = 2.5Watts
 4 Since, LPF does not allow total power from

64. Variance of process = RX(0). input to output.



Let Variance of output = RY(0).
E[X2 (t)] =  Sx (f) df = 2W
It is zero mean. 0

 R y () = h()  h(−)  RN() As E[Y2(t)]  E[X2(t)].

Where, h(  ) = filter response and RN(  ) is E[Y2(t)]  2


Hence only statement –I is correct.
the input noise.
When X = −1 is transmitted
N0 66.
RN() = . () P(X = −1) = 0.2
2
𝑁0
 𝑅𝑦 (𝜏) = [ℎ(𝜏) ∗ ℎ(−𝜏)] 2


No
=
2  h().h( + z)d
−


No 2 No N
= h ().d =  Energy = o  3A2
2 −
2 2

3
R y () = N A2 .
2 0 1
Pe–1 = (0.2)   (1 − vth )
65. The given input power spectral density is 4
as follows : When X= 1 is transmitted P[x = 1] = 0.8

Now frequency response of the low pass


filter is as follows :

35
www.gradeup.co

1 68. Given


Pe = (0.8)   (vth + 1)
1 4

(0.2)(1)(1 − Vth ) + (0.8)(Vth + 1)


Pe =
1 4
Sw(f) = 3W/Hz
0.2(1 − Vth ) + 0.8(Vth + 1)
Pe =
4

(0.2 + 0.8) + 0.6 Vth 1 + 0.6Vth


= = E[Y2] = Sw(f) energy ϕ(t) = 6
4 4
Var[Y] = 6 – 0 = 6
Now, –1 ≤ Vth≤ 1
69. There can be 4 out comes.
 Pe when Vth = –1
min {HH}, {HT}, {TH}, {TH}.
1 + (0.6)(−1) 0.4 ∴ Let 1 is denoted by head
= = = 0.1
4 4
∴ Let 0 is denoted by Tail.
∴ M = {1 1 0 0 }
67.
N={1010}
X = min (M, N) = 1 0 0 0.
As y = 2x – 6

So, P(X) =

Now, X = 1
If x ≥ 5 then y ≥ 4
When {H H} comes up
So, P(y ≤ 7/x ≥ 5) = P(Y ≤ 7/y ≥ 4)
∴ P(X = 1) = P[{H H}]

Now X = 0 when {H T}, {T H} or {T T}


come up
When

∴ P (X = 0) = ,

∴ E(x)

❖❖❖❖

36
www.gradeup.co

37
2
www.gradeup.co

Analog Communication

Analysis of Previous GATE Papers

2017 Set 1

2017 Set 2

2016 Set 1

2016 Set 2

2016 Set 3

2015 Set 1

2015 Set 2

2015 Set 3
2020

2019

2018
Year →
Topic
Topic ↓

MCQ Type
1 Mark
Numerical Type 1 1
Amplitude Modulation MCQ Type
2 Marks
Numerical Type 1
Total 1 2 1
MCQ Type
1 Mark
Numerical Type
Frequency Modulation MCQ Type
2 Marks
Numerical Type
Total
MCQ Type 1 1
1 Mark
Numerical Type 1
Phase Modulation MCQ Type
2 Marks
Numerical Type 1 1
Total 2 1 2 1 1
MCQ Type
1 Mark
Numerical Type
Spectra of AM and FM MCQ Type 1
2 Marks
Numerical Type 1
Total 2 2
MCQ Type
1 Mark
Numerical Type 1 1
Superheterodyne
MCQ Type
Receiver 2 Marks
Numerical Type
Total 1 1
MCQ Type 1
1 Mark
Numerical Type
Circuits for Analog
MCQ Type
Modulation 2 Marks
Numerical Type
Total 1

38
www.gradeup.co

AMPLITUDE MODULATION A. 1.0 B. 0.333


C. 0.5 D. 3.0
1. The amplitude modulated wave form s(t) =
[2003 : 2 Mark]
Ac[1 + Kam(t)] cos  ct is fed to an ideal
Common Data for Questions 4 and 5:
envelope deterctor. The maximum Let g(t) = p(t)*p(t), where |*|
denotes
magnitude of Kam(t) is greater than 1. convolution and p(t) = u(t) – u(t –1) with
Which of the following could be the u(t) being the unit step function.
detector output? 4. The impulse response of filter matched to
A. Acm(t) B. Ac2[1 + Kam(t)]2 the signal s(t) = g(t) –  (t–2)* g(t) is
C. [Ac|1 + kam(t))|] D. Ac|1 + Kam(t)| 2
given as
[2000 : 1 Mark] A. s(1 – t) B. –s(1 – t)
2. A message m(t) bandlimited to the C. –s(t) D. s(t)
frequency fm has a power of Pm. The power [2006 : 2 Marks]

of the output signal in the figure is 5. An Amplitude Modulated signal is given as


x
AM(t) = 100(p(t) + 0.5g (t)) cos c t in the

interval 0 < t < 1. One set of possible


values of the modulating signal and
modulation index would be
A. t, 0.5 B. t, 1.0
C. t, 2.0 D. t2, 0.5
Pmcos Pm
A. B. [2006 : 2 Marks]
2 4
6. Consider the amplitude modulated (AM)
P sin2  P cos2 
C. m D. m signal Accos c t + 2 cos m t cost c t. For
4 4
demodulating the signal using envelope
[2000 : 2 Marks]
detector, the minimum value of Ac should
3. A DSB-SC signal is to be generated with a
be
carrier frequency fc = 1 MHz using a non-
A. 2 B. 1
linear device with the input-output
C. 0.5 D. 0
characteristic
[2008 : 1 Mark]
v0 = a0 vi + a1 vi3;
7. A message signal given by
where, a0 and ai are constants. The output
1 1
of the non-linear device can be filtered by m(t)=   cos1t–   sin 2t
2
  2
an appropriate band-pass filter.
is amplitude-modulated with a carrier of
Let vi = Aic cos (2fci t) + m(t) where m(t) frequency c to generate s(t) = [1 + m(t)]

is the message signal. Then the value of fci cos c t. What is the power efficiency

(inMHz) is achieved by this modulation scheme?

39
www.gradeup.co

A. 8.33% B. 11.11% 12. The amplitude of a sinusoidal carrier is


C. 20% D. 25% modulated by a single sinusoid to obtain
[2009 : 2 Marks] the amplitude modulate signal
8. Suppose that the modulating signal is m(t) s(t)=5cos1600  t+20cos1800
= 2cos (2fmt) and the carrier signal is  t+5cos2000  t

xC(t) = AC cos (2fc t) . Which one of the The value of the modulation index is ____.

following is a conventional AM signal [2016 : 1 Marks, Set-1]

without over-modulation? 13. The unmodulated carrier power in an AM

A. x(t) = ACm(t)cos (2fc t) transmitter is 5 kW. This carrier is


modulated by a sinusoidal modulating
B. x(t) = AC [1 + m(t)]cos (2fc t)
signal. The maximum percentage of
AC
C. x(t) = ACcos (2fc t) + (2fc t) + modulation is 50%. If it is reduced to 40%,
4
then the maximum unmodulated carrier
m(t)cos (2fc t)
power (in kW) that can be used without
D. x(t) = ACcos (2fmt) cos (2fc t) + ACsin
overloading the transmitter is _____.
(2fmt) sin (2fc t) [2017 : 2 Marks, Set-2]

[2010 : 1 Marks] 14. Consider the following amplitude

9. Consider sinusoidal modulation in an AM modulated signal:


system. Assuming no overmodulation, the s(t)=cos(2000  t)+4cos(2400  t)
modulation index (m) when the maximum +cos(2800  t)
and minimum values of the envelope, The ratio (accurate to three decimal
respectively, are 3V and 1 V, is ______. places) of the power of the message signal
[2014 : 1 Marks, Set-2] to the power of the carrier signal is
10. A modulated signal is y{t) = ______.
m(t)cos(40000  t), where the baseband [2018 : 1 Marks]
signal m(t) has frequency components less
than 5 kHz only. The minimum required FREQUENCY MODULATION

rate (in kHz) at which y(t) should be Common Data for Questions 15 and 16:
sampled to recover m(t) is ______. Let m(t) = cos[(4  × 103)t] be the
[2014 : 1 Marks, Set-3] message signal & c(t) = 5cos [2  × 106)t]
11. In a double side-band (DSB) full carrier AM
be the carrier.
transmission system, if the modulation
15. c(t) and m(t) are used to generate an AM
index is doubled, then the ratio of total
signal. The modulation index of the
sideband power to the carrier power
generated Am signal is 0.5. Then the
increases by a factor of ________.
Total sideband power
quantity is
[2014 : 1 Marks, Set-4] Carrier power

40
www.gradeup.co

1 1 The maximum deviation of the


A. B.
2 4 instantaneous frequency from the carrier
1 1 frequency fc is
C. D.
3 8
A. 1 f1 + 2 f2 B. 1 f2 + 2 f1
[2003 : 2 Marks]
C. 1 + 2 D. f1 + f2
16. c(t) and m(t) are used to generate an FM
[2014 : 1 Marks, Set-3]
signal. If the peak frequency deviation of
the generated FM signal is three times the PHASE MODULATION
transmission bandwidth of the AM signal,
20. An angle-modulated signal is given by
then the coefficient of the term cos[2 
s(t) = cos2  (2 × 106t + 30sin150t +
3
(1008 × 10 t)] in the FM signal (in terms
40cos150t).
of the Bessel coefficients) is
The maximum frequency and phase
5
A. 5J4(3) B. J8(3) deviations of s(t) are
2
A. 10.5 kHz, 140  rad
5
C. J8(4) D. 5J4(6) B. 6 kHz, 80  rad
2
[2003 : 2 Marks] C. 10.5 kHz, 100  rad

17. A device with input x(t) and output y(t) is D. 7.5 kHz, 100  rad

characterized by: y(t) = x2(t). 21. Find the correct match between group 1
and group 2.
An FM signal with frequency deviation of
Group 1
90 kHz and modulating signal bandwidth of
P. {1 + km(t) A sin( c t)
5kHz is applied to this device. The
bandwidth of the output signal is Q. Km(t)Asin ( c t)
A. 370 kHz B. 190 kHz R. Asin{ c t + km(t)}
C. 380 kHz D. 95 kHz
 t 
[2005 : 2 Marks] S. Asin c t+k  m(t)dt 
 – 
18. Consider the frequency modulated signal
Group 2
10 cos [2  × 105t + 5sin(2  × 1500t) +
W. Phase modulation
7.5sin(2  × 1000t) with carrier frequency
X. Frequency modulation
of 105 Hz. The modulation index is
Y. Amplitude modulation
A. 12.5 B. 10
Z. DSB-SC modulation
C. 7.5 D. 5
A. P-Z, Q-Y, R-X, S-W
[2008 : 2 Marks]
B. P-W, Q-X, R-Y, S-Z
19. Consider an FM signal
C. P-X, Q-W, R-Z, S-Y
f(t) = cost[2  fct + 1 sing2  f1t + 2 sin 2  D. P-Y, Q-Z, R-W, S-X
f2t] [2005 : 1 Mark]

41
www.gradeup.co

22. Consider an angle modulation signal 25. A message signal m(t) = Am sin(2  fmt) is
x(t) = 6cos[2  ×106t + 2sin(8000  t) + used to modulate the phase of a carrier

4cos(8000  t)]V. Accos(2  fct) to get the modulated signal

The average power of x(t) is y(t) = Accos(2  fct + m(t)). The bandwidth
of Y(t)
A. 10 W B. 18 W
A. depends on Am but not on fm
C. 20 W D. 28 W
B. depends on fm but not on Am
[2010 : 1 Mark]
C. depends on both Am and fm
23. The signal m(t) as shown is applied both to
D. does not depends on Am or fm
a phase modulator (with kp as the phase
[2015 : 1 Marks, Set-3]
constant) and a frequency modulator with 26. A modulating signal given by
(kf as the frequency constant) having the x(t)=5sin(4  103t–10  cos2  103t)V
same carrier frequency is fed to a phase modulator with phase
deviation constant kp = 5 rad/V. If the
carrier frequency is 20 kHz, the
instantaneous frequency (in kHz) at t =
0.5 ms is _______.
[2017 : 2 Marks, Set-2]
27. The baseband signal m(t) shown in the
figure is phase-modulated to generate the
PM signal  (t) = cos(2  f3t + km(t)).
The ratio kp/kt (in rad/Hz) for the same
maximum phase deviation is
A. 8  B. 4 
C. 2  D. 
[2012 : 2 Marks]
The time t on the x-axis in the figure is in
24. Consider the signal
milliseconds. If the carrier frequency is fc =
s(t) = m(t)cos(2  fct)+ m̂ (t)sin(2  fct)
50kHz and k = 10  , the ratio of the
^
where m (t) denotes the Hilber transform minimum instantaneous frequency (in kHz)

of m(t) and the bandwidth of m(t) is very to the maximum instantaneous frequency
(in kHz) is _____ (rounded off to 2 decimal
small compared to fc. The signal s(t) is a
places).
A. high-pass signal
[2019 : 1 Marks]
B. low-pass signal
28. SPM(t) and SFM(t) as defined below, are the
C. band-pass signal
phase modulated and frequency modulated
D. double sideband suppressed carrier
waveforms, respectively, corresponding to
signal the message signal m(t) shown in the
[2015 : 1 Marks, Set-1] figure.

42
www.gradeup.co

30. A 1 MHz sinusoidal carrier is amplitude


modulated by a symmetrical square wave
and of period 100  sec. Which of the following

frequencies will NOT be present in the


Where Kp is the phase deviation constant
modulated signal?
in radians/volt and Kf is the frequency
A. 990 kHz B. 1010 kHz
deviation constant in radians/second/volt.
C. 1020 kHz D. 1030 kHz
If the highest instantaneous frequencies of [2002 : 1 Marks]
SPM(t) and SFM(t) are same, then the value 31.An AM signal and a narrow-band FM signal
with identical carriers, modulating signals
of the ratio is …… seconds. and modulation indices of 0.1 are added
together. The resultant signal can be
closely approximated by
A. broadband FM
B. SSB with carrier
C. DSB-SC
D. SSB without carrier
[2004 : 1 Mark]
32. A 100 MHz carrier of 1 V amplitude and a 1
MHz modulating signal of 1 V amplitude
are fed to a balanced modulator. The
[2020 : 2 Marks] output of the modulator is passed through

4400000000000000400.4040 an ideal high-pass filter with cut-off


frequency of 100 MHz. The outout of the
4444
filter is added with 100 MHz signal of 1 V
4000000nnnsdnjcnnnj
amplitude and 90° phase shift as shown in

SPECTRA OF AM & FM the figure. The envelope of the resultant


signal is
29. In a FM system, a carrier of 100 MHz is
modulated by a sinusoidal signal of 5 kHz.
The bandwidth by Carson’s approximation
is 1 MHz. If y(t) = (modulated waveform)3,
A. constant
then by using Carson’s approximation, the
bandwidth of y(t) and the spacing of B. 1 + sin(2 × 106t)

spectral components are, respectively 5


C. – sin(2 × 106 t)
4
A. 3 MHz, 5 kHz B. 1 MHz, 15kHz
5 6
C. 3 MHz, 15 kHz D. 1 MHz, 5 kHz D. √ + cos(2𝜋× 10 𝑡)
4

[2000 : 2 Marks] [2004 : 2 Marks]

43
www.gradeup.co

44
www.gradeup.co

33. Which of the following analog modulation 25 25


C. D.
scheme requires the minimum transmitted 2N0B N0B

power and minimum channel band-width? [2006 : 2 Marks]


A. VSB B. DSB-SC 37. In the following scheme, if the spectrum
C. SSB D. AM M(f) of m(t) is an shown, then the
[2005 : 1 Mark] spectrum Y(f) of y(t) will be
34. A message signal with bandwidth 10 kHz is
Lower-Side Band SSB modulated with
carrier frequency fc1 = 10 6
Hz. The
resulting signal is then passed through a
Narrow-band Frequency Modulator with
carrier frequency fc2 = 109 Hz.
The bandwidth of the output would be
A. 4 × 104 Hz B. 2 × 106 Hz
C.2 × 109 Hz D. 2 × 1010 Hz
[2006 : 2 Marks]
Common Data for Questions 35&36 :
Consider the following Amplitude
Modulated (AM) signal, where fm< B
xAM(t) = 10(1 + 0.5sin2  fmt)cos2  fct
35. The average side-band power for the AM
signal given above is
A. 25 B. 12.5
C. 6.25 D. 3.125
[2006 : 2 Marks]
36. The AM signal gets added to a noise with
Power Spectral Density Sn(f) given in the
figure below. The ratio of average sideband
power to mean noise power would be

25 25
A. B.
8N0B 4N0B

45
www.gradeup.co

A B C D
A. 4 2 1 3
B. 2 4 1 3
C. 3 2 1 4
D. 2 4 3 1
[2007 : 2 Marks] [2011 : 1 Mark]
38. The signal cos c t + 0.5cos𝜔𝑚 t sin𝜔𝑐 t is 41. In the figure, M(f) is the Fourier transform

A. FM only. of the message signal m(t) where A = 100

B. AM only. Hz and B = 40 Hz. Given v(t) = cos(2  fct)

C. both AM and FM. and w(t) = cos(2  (fc + A)t), where fc> A.
D. Neither AM nor FM. The cut-off frequencies of both the filters
[2008 : 2 Marks] are fc.
39. For a message signal m(t) = cos(2  fmt)
and carrier of frequency fc, which of the
following represents a signal side-band
(SSB) signal?
A. cos(2  fmt)cos(2  fct).
B. cos(2  fct).
C. cos[2  (fc +fm)t].
D. [1 + cos[2  fmt]cos(2  fct).
The bandwidth of the signal at the output
[2009 : 1 Mark]
of the modulator (in Hz) is _______.
40. The List-I (lists the attributes) and the
[2014 : 2 Marks, Set-2]
List-II (lists of the modulation systems).
42. In the system in Figure (a), m(t) is a low-
Match the attribute to the modulation
pass signal with bandwidth W Hz. The
system that best meets it.
frequency response of the band-pass filter
List-I
H(f) is shown in Figure (b). If it is desired
A. Power efficient transmission of signals
that the output signal z(t) = 10x(t), the
B. Most bandwidth efficient transmission of
maximum value of W(in Hz) should be
voice signals
strictly less than ______.
C. Simplest receiver structure
D. Bandwidth efficient transmission of
signals with significant dc component
List-II
1. Conventional AM
B. FM
C. VSB
D. SSB-SC [2015 : 2 Marks, Set-1]

46
www.gradeup.co

43. Let c{t) = Accos(2  fct) and m(t) = cos(2  that fIF<fLO. It is required that the image
fmt). It is given that fc>> 5fm. The signal frequencies fall outside the 58 MHz-68 MHz
c(t) + m(t) is applied to the input of a non- band. The minimum required fIF (in MHz) is
linear device, whose output v0(t) is related ______.
to the input vi(t) as v0(t) = avi(t) + bvi2(t), [2016 : 1 Mark, Set-1]
where a and b are positive constants. The 46. For a super heterodyne receiver, the
output of the non-linear device is passed intermediate frequency is 15 MHz and the
through an ideal band-pass filter with local oscillator frequency is 3.5 GHz. If the
center frequency fc and bandwidth 3fm, to frequency of the received signal is greater
produce an amplitude modulated (AM) than the local oscillator frequency, then
wave. If it is desired to have the sideband the image frequency (in MHz) is _______.
power of the AM wave to be half of the [2016 : 1 Mark, Set-3]
carrier power, then a/b is
CIRCUITS FOR ANALOG MODULATION
A. 0.25 B. 0.5
C. 1 D. 2 47. The Hilbert transform of cos 1 t + sin 2 t is
[2018 : 2 Marks] A. sin 1 t – cos 2 t B. sin 1 1 + cos 2 t

SUPERHETERODYNE RECEIVER C. cos 1 t – sin 2 t D. sin 1 t + sin 2 t

[2000 : 2 Marks]
48. A bandlimited signal is sampled at the
44. A super heterodyne receiver is to operate
Nyquist rate. The signal can be recovered
in the frequency range 550 kHz-1650 kHz,
by passing the samples through
with the intermediate frequency of 450
A. an RC filter.
C
kHz. Let, R = max denote the required B. an envelope dectector.
Cmin
C. a PLL.
capacitance ratio of the local oscillator and
D. an ideal low-pass filter with the
I denote the image frequency (in kHz) of
appropriate bandwidth.
the incoming signal. If the receiver is
[2001 : 1 Mark]
tuned to 700 kHz, then
2sin 2t
A. R = 4.41m I = 1600 49. In the figure m(t) = , s(t) =
t
B. R = 2.10, I = 1150
sin199t
C. R = 3.0, I = 1600 cos200  t and n(t) = . The output
t
D. R = 9.0, I = 1150 y(t) will be
[2003 : 2 Marks]
45. A super heterodyne receiver operates in
the frequency range of 58 Mhz-68 Mhz.
The intermediate frequency fIF and local
oscillator frequency fLO are chosen such

47
www.gradeup.co

sin2t 52. An Am signal is detected using an envelope


A.
t detector. The carrier frequency and
sin2t sint modulating signal frequency are 1 MHz and
B. + cos 3t
t t 2 kHz respectively. An appropriate value
sin2t sin0.5t for the time constant of the envelope
C. + cos1.5t
t t
detector is
sin2π𝑡 sinπ𝑡
D. + 𝑐𝑜𝑠 0 . 75𝜋𝑡 A. 500  sec B. 20  sec
𝑡 𝑡

[2000 : 2 Marks] C. 0.2  sec D. 1  sec


50. The input to a coherent detector is DSB-SC [2004 : 1 Mark]
signal plus noise. The noise at the detector 53. Two sinusoidal signals of same amplitude
output is and frequencies 10 kHz and 10.1 kHz are
A. the in-phase component. added together. The combined signal is
B. the quadrature-component. given to an ideal frequency detector. The
C. zero. output of the detector is
D. the envelope. A. 0.1 kHz sinusoid.
[2003 : 1 Mark] B. 20.1 kHz sinusoid.
51. Choose the correct one from among the C. a linear function of time.
alternative a, b, c, d after matching an D. a constant.

item in Group 1 with the most appropriate [2004 : 2 Marks]

item in Group 2. 54. The diagonal clipping in Amplitude

Group 1 Demodulation (using envelope detector)

P. Ring modulator can be avoided if RC time-constant of the


envelope detector satisfies the following
S. Mixer
condition, (here W is message bandwidth
R. Foster-Seely discriminator
and  is carrier frequency both in rad/sec)
Q. VOC
1 1
Group 2 A. RC < B. RC >
𝑊 𝑊

1. Clock recovery 1 1
C. RC < D. RC >
 
2. Demodulation of FM
[2006 : 2 Marks]
3. Frequency conversion
55. A message signal
4. Summing the two inputs
m(t) = cos2000  t + 4cos4000  t
5. Generation of FM
modulates the carrier c(t) = cos2  fct
6. Generation of DSB-SC
where fc = 1 MHz to produce an AM signal.
A. P – 1; Q – 3; R – 2; S - 4
For demodulating the generated Am signal
B. P – 6; Q – 5; R – 2; S - 3
using an envelope detector, the time
C. P – 6; Q – 1; R – 3; S - 2
constant RC of the detector circuit should
D. P – 5; Q – 6; R – 1; S - 3
satisfy
[2003 : 2 Marks]

48
www.gradeup.co

A. 0.5 ms< RC < 1 ms


B. 1 ms<<RC< 0.5 ms
C. RC << 1  s

D. RC >> 0.5ms
[2011 : 2 Marks]
56. The block diagram of a frequency
synthesizer consisting of a Phase Locked
Loop (PLL) and a divide-by-N counter
(comprising ÷ 2, ÷ 4, ÷ 8, ÷ 16 outputs)
The corresponding frequency synthesized
is sketched below. The synthesizer is
are :
excited with a 5 kHz signal (Input 1). The
A. 10 kHz, 20 kHz, 40 kHz, 80 kHz.
free-running frequency of the PLL is set to
B. 20 kHz, 40 kHz, 80 kHz, 160 kHz.
20 kHz. Assume that the commutator
C. 80 kHz, 40 kHz, 20 kHz, 10 kHz.
switch makes contacts repeatedly in the
D. 160 kHz, 80 kHz, 40 kHz, 20 kHz.
order 1-2-3-4.
[2016 : 1 Mark, Set-1]

ANSWER

1. A 2. D 3. C 4. C 5. A 6. A 7. C 8. C 9. 0.5 10. 10kHz

11. 4 12. 0.5 13. 5.208 14. 0.25 15. C 16. D 17. A 18. D 19. A 20. D

21. D 22. B 23. B 24. C 25. C 26. 70 27. 0.75 28. 2 29. A 30. C

31. B 32. C 33. C 34. B 35. C 36. B 37. A 38. A 39. C 40. B

41. 60 42. A 43. D 44. A 45. 5 46. 3485 47. A 48. D 49. C 50. C

51. B 52. B 53. A 54. A 55. B 56. A

49
www.gradeup.co

50
www.gradeup.co

SOLUTION

1. The Am signal, when not over modulated If the time constants RsC and RLC are
allows the recovery of m(t) from its properly chosen, v1(t) follows the envelope
envelope. By using envelope detector os s(t) fairly closely. During the conduction
whose operation is depicted below:
cycle of D, C quickly charges to the peak
Consider the circuit show in figure (A)
value of the carrier at that time instant. It

will discharge a little during the next off

cycle of the diode. The time constants of

the circuit will control the ripple about the

actual envelope. CB is a blocking capacitor

and the final vout(t) will be proportional to

We assume the diode D to be ideal. When m(t), as shown in figure 2(b).


it is forward biased, it acts as a short Hence, the output Vout(t) = A0m(t)
circuit and thereby, making the capacitor C 2.
charge through the source resistance R s.
When D is reverse biased, it acts as an
open circuit and C discharges through the
load resistance RL.
As the operation of the detector circuit Output at product modulation
depends on the charge and discharge of
d(t) = m(t) cos 0 t*cos( 0 t+ θ )
the capacitor C, we shall explain this
𝑚(𝑡)
operation with the help of figure (B) = [𝑐𝑜𝑠( 2𝜔0 𝑡 + 𝜃) + 𝑐𝑜𝑠 𝜃]
2

since 0 t> 2  fm

m(t)
Output at LPF, y(t) = cos θ
2

[higher frequency component rejected

[power in the output signal]

P = E[y2 (0)]

cos2θ
= E[m2 (0)]
4

cos2θ
= .Pm
4

51
www.gradeup.co

3.

 s(t) = g(t) –  (t – 2)*g(t)


v0=a0vi + a1vi3
= g(t) –g(t – 2)
= 𝑎0 [𝐴𝑖𝑐 𝑐𝑜𝑠 𝜔𝑐𝑖 𝑡] + a 0 m(𝑡) + a1 (𝐴𝑖𝑐 )3 𝑐𝑜𝑠 3 𝜔𝑐𝑖 𝑡
 Impulse response of match filter is
+a1m3(t) + 3a1m2(t) Aic cos ic t
n(t) = s(t – T) = –s(t).
+3a1 Aic cos2 ic t . m(t)

0 → BPF → DBS–SC

fc = 1MHz
The useful term for DSB – SC is
1 cos 2ic t.m(t) 
3a1 Aic  m(t)+ 
 2 2 

For DSB – SC 5.
3a1 i
 A cos 2ic t .m(t)
2 c
Bus fc for DSB – Sc is given which is 1
MHz.

Here compare 2 fci with fc = 1 MHz.

fci = 0.5 MHz.

4. p(t) = u(t) – u(t – 1).


xAM(t) = 100[p(t) + 0.5g(t)] coswct
 s(t) = 100[1 + m(t)] coswct,p(t) = 1.
m(t) = 0.5g(t)
 modulation signal = 0.5

g(t) = p(t) *p(t).

52
www.gradeup.co

6. x(t) = Ac cos c t + 2 cos ( m t) cos c t 1


2 1
= = = 20%
 2  1 5
= Ac cos c t 1 + cos m t 2+
 Ac  2

Comparing with standard equation for Am 8. Conventional AM wave is represented as,

wave, x(t) = AC[1 + kam(t)cos(2  fct)...(A)

x(t) = Ac cos c t [1 + kam(t)] For over modulation |kam(t)|max> 1


Hence, only signals given in option (b) and
(ka is the amplitude sensitivity)
We get that to avoid over modulation, (c) are conventional signal.

|kam(t)|max< 1 Ac
For x(t)=Ac cos(2  fct)+ m(t)cos(2  fct)
4
2
 <1
AC 1 1 1
|kam(t)|max = m(t) = .2 = <1
4 max 4 2
or, minimum value of Ac = 2.
PSB (Under modulated)
7. Power efficiency,  =
PTOT For, x(t) = AC[1 + m(t)cos(2  fct)
where, PSB = power in side bands |kam(t)|max = |1.m(t)|max = 2 > 1
PTOT = total power transmitted (Over modulated)
 1 1  3–1 1
s(t)= 1 + cos 1t– sin 2t  cosc t 9. 𝜇=
𝐴(𝑡)max – A(𝑡)min
= = = 0.5
 2 2  𝐴(𝑡)max +A(𝑡)min 3+1 2
1 10. Since m(t) is a base band signal with
= cos c t + cos (1 + c t)t 
4
maximum frequency 5 KHz, assumed
1
+ cos (1 – c t)t  spreads as follows :
4
1 1
+ { 𝑠𝑖𝑛[(𝜔2 + 𝜔𝑐 )𝑡] + 𝑠𝑖𝑛[(𝜔2 – 𝜔𝑐 )𝑡]}
4 4
Power in side bands,
11 1 1 1 
PSB = + + +
2 16 16 16 16 

1 1 1 5
= = PTOT = + =
8 2 8 8
1/8 1
 = = = 0.20 = 20% 7
5/8 5  y(t) = m(t)cos(40000  t) ⎯⎯⎯

Alternately *1
m(f) [  (f – 20k) +  (f + 20 k)]
1 1 2
m1 = m2 =
2 2 1
 y(f) = [M(f – 20k) + M (f + 20k)]
1 2
m = m12 + m22 =
2 Thus the spectrum of the modulated signal
m2 is as follows :
Power efficiency  =
2
2+m

53
www.gradeup.co

13. Given : Pc = 5kW for max = 0.5

𝜇2 𝑚𝑎𝑥  0.25 
Ptmax = 𝑃𝑐 [1 + ]= 5 1 +
2
 2 

Ptmax = 5.625kW
For  = 0.4

It y(t) is sampled with a sampling 𝜇2


Pcmax (1 + ) = Pt max
2
frequency ‘fs’ then the resultant signal is a 5.625
Pcmax = (0.4)2
= 5.208kW
periodic extension of successive replica of 1+
2

y(f) with a period ‘fs’. 14. s(t) = cos(2000  t) + 4cos(2400  t)


It is observed that 10 KHz and 20 KHz are + cos(2800  t) ....i
the two sampling frequencies which causes Standard form of the AM signal is given
a replica of M(f) which can be filtered out below,
by a LPF. Ac
s(t)= cos[2π(fc – fm)t] + Ac cos (2  fct)
Thus the minimum sampling frequency (fs) 2

which extracts m(t) from g(f) is 10 KHz. Ac


+ cos[2(fc +fm )t]
2
Now comparing equation (i) with standard
equation we get
Ac
Ac = 4and =1
2
2
 =
Ac
Bandwidth = A – B= 100 – 40 = 60
Am 2
11.
Ratio of total side band power
𝛼𝜇 Now =  Am = 2
Carrier power Ac Ac
If it in doubled, this ratio will become 4 1 2
Am A2
Pm (2)2 1
times = 2 = m = = = 0.25
Pc 1 2 A 2
(4)2 4
12. Here the amplitude modulated signal A c
2 c
s(t) = 5cos1600  t + 20cos1800  t
 m2 
+ 5cos2200  t 15. Pt = Pc 1 + 
 2 

Ac 
s(t) = cos2  (fc – fm)t + Ac cos 2  fct Pt m2 0.25
2  =1+ =1+
Pc 2 2
Ac 
+ cos2  (fc + fm)t = 1 + 0.125 = 1.125
2
Ac  Pt – Pc 1.125 – 1
So, Ac = 20 and Ac  = 10or =5  =
2 Pc 1

10 1 0.125 1
 = = = 0.5 = =
20 2 1 8

54
www.gradeup.co

16. The FM signal is represented in terms of Instantaneous frequency deviation


Bessel function as, = 1 f1 cos 2  f1t + 2 f2 cos 2  f2t

Maximum  f = 1 f1 + 2 f2
xFM(t) = A  Jn(β).cos(c  nm )t
n=–
20. Instantaneous frequency 1 , is given by,
where A is the amplitude of carrier signal.
𝑑
𝜔𝑖 = [𝜃(𝑡)]
 dy
then, 𝛥𝜔𝑐 = 6ω𝑚 and β = =6
m d 
= 2(2×106 t + 30 sin 150t + 40cos 150t)
dt  
Givenn c = nm = (1008 × 103).2 
and  = i – c
or 2  ×106+ n.4  ×103 = (1008 × 103).2 
or n = 4 = 3000  [3cos 150t – 4 sin 150t]

thus, Bessel coefficient will be 5J4(6). = 15000  [cos (150t +  )],


17. when FM signal is applied to doubler, 4
where  = tan–1
frequency deviation doubles but fm remains 3

the same. Then maximum frequency deviation,


B.W. = 2(  f+fm) = 2(180 + 5) = 370 kHz |  |max 15000
f = = = 7.5 kHz
18. s(t) = 10cos[2  105t + 5sin(2  ×500t) 2 2

+ 7.5 sin(2  × 1000t)]  (t) = 2  (30 sin 150t + 40 cos 150t)


Let equation FM signal of type : 3 4 
= 100   sin 150t + .cos150t 
 KV  5 5 
A cos c t + f m sin mt 
 m  = 100  . sin(150t + ’ )
Kf Vm1 K V 4
then = 5; f m2 = 7.5 where ’ = tan–1 .
m1 m2 3

Kf Vm2 = 5 × 2  × 1500 Then, maximum phase deviation,

and Kf Vm2 = 7.5 × 2  × 1000 |  (t)|max = 100  radian

we have  (frequency deviation) same in 21. Standard form of amplitude modulated


both, so signal,
 s(t) = Ac[1 + kam(t) cos( c t)
modulation index mf =
[]max
Standard form of DSB-SC signal,
5  2  1500
= =5 s(t) = Km(t).Accos( c t).
2  1500
19. Instantaneous phase Standard form of phase modulated signal,

(t) = 2fc t + 1 sin 2f1 + 2 sin 2f2t s(t) = Ac. cos [ c t + Kpm(t)].

Instantaneous frequency Standard form of frequency modulated


d 1 signal,
f1(t) =  (t)×
dt 1 2
s(t) = Ac. cos c t + k f  m()d 
t

= fc+ 1 f1 cos 2  f1t + 2 f2 cos 2  f2t  –  

55
www.gradeup.co

22. The terms [2sin(8000  t)+4cos(8000  t)] Instantaneous angle of the modulated
can be expressed as, signal is  (t) = c t + kpx(t).
[cos  sin(8000  t) + sin  .cos(8000  t)] Instantaneous frequency
2 1 2 dθ(t) dx(t)
Hence cos  = = , sin  = i(t)= = c + kP
20 5 5 dt dt
25
or, 20[sin(8000t + )], fi (t)= fc + [cos(4000  t – 10  2000  t]
2
where tan–1  = 2
× (4000  + 20000 2 .sin 2000  t)]
Hence, At t = 0.5 ms,
x(t)=6cos 2  10 t + 20.sin(8000t + )
6
25
  fi = fc + [cos(2  – 10  cos(  )].
2
For angle-modulated signal having
(4000  + 20000 2 .sin  )
constant amplitude Ac.
25
The average power is given by, = fc + [(cos12  ). 4000 
2
𝐴2
𝑐 62
𝑃av =
2
=
2
= 18 Watts = fc + 50 kHz (  fc = 20 kHz)
23. In phase modulation, fi = 70 kHz

Maximum Phase deviation = Kp|m(t)|max 1 dθ(t)


27. Instantaneous frequency (fi) =
2 dt
= Kp.2
where θ (t) = 2  fct + km(t)
In Frequency modulation,
1 dt K dm
Maximum phase deviation  fi = 2f t + km(t) = fc +
2 dt  c 2 dt
2
= 2𝜋𝑘𝑓 ∫0 2dt = 2K𝑓 π4 1
K dm
 fi = fc +
Now, Kp. 2 = 2 Kfπ4 max 2 dt max
KP
 = 4 K dm
Kf and fi = fc + min...(ii)
min 2 dt
24. Given signal s(t) is an SSB modulated
dm dm
Now max =2 =–1
signal. Also, it is the canonical dt dt min
representation of a bandpass signal. Replacing the value of
25. WB doubled (increased) → early effect is
dm dm
max and
still present but its effect less severe dt dt min
relative to previous WB. Slope IC vs VCE In eq. (i) and (ii) we get.
decreases. fi = 50k + (5)(2)K = 60k
max
26. Given : modulating signal,
fi = 50k + (5)(–1)K = 45k
max
x(t) = 5sin(4000  t –10  cos 2000  t)V.
fi 45K
kP = 5rad/V, fc = 20 kHz Now Required Ratio = min
=
fi 60K
The standard phase modulated signal is max

s(t) = Ac cos ( c t + kpx(t)). 3


= = 0.75
4

56
www.gradeup.co

57
www.gradeup.co

28. SPM(t) = cos [1000πt + KPm (t)] f’m = f’m β ’ = 3 β

Bandwidth, B’T = 2fm(1 + β ’)  2fm(3 β ) 

3 1
Maximum instantaneous frequency in FM.
hence Bandwidth
B’T = 3BT = 3 × 1M = 3MHz
f’m = fm = 5 KHz
30.
… (i)

And maximum instantaneous frequency in


PM

… (ii)

Given maximum instantaneous frequency


is same

Kf × 10 = 5 KP

29. Since m(t) is sinusoidal


s(t) = c(t) .m(t)
yFM(t)=Ac cos[ c t + β sin m t]
Expressing square wave as,
y (t)=[yFM (t)]=Ac3
3
cos [ c t + β sin m t]
3
4  (–1)n–1
Result. Modulation index β get multiplied
c(t) = 
 n = 1 2n – 1
cos[2fc (2n–1)].

by factor 3 and fm remain constant. then, modulated output will be

58
www.gradeup.co

s(t) = c(t). m(t) and, complex-envelope

4  (–1)n–1
= 
 n = 1 2n – 1
cos[2fc (2n–1)].m(t) y (t) = y+(t). exp(–j2  .108.t)

1 j2106.t
From, the spectrum it is clear than 1020 = e -j
2
Hz signal will be absent,
1 1 
31. Narrow-band FM signal is given as, y (t) = [cos(2106 t)] + j  sin2106 t – 1
2  2 
xNBFM(t) = A c cos(2fc t) – βAc sin (2fmt) sin
Hence envelope of y(t) would be,
(2fc t)....(A) 1/2
a(t) = y12 (t) + y2Q (t)
 
where m(t) = Am cos (2  fmt)
5
Carrier wave s(t) = AC cos (2  fct) – sin(2106 t)
4
AM signal is given as,
33. SSB has BW = m and power is also
sAM (t) = AC [1 + cos (2  fmt)]cos(2  fct)
minimum
....(B)
34.
Given m(t) is same per both the signals
and
β =1

Adding equation. (A) and equation (B), we


have xNBFM (t) + SAM (t)
𝐴
𝐴𝑐 𝑐𝑜𝑠( 2𝜋𝑓𝑐 𝑡) + ⏟𝑐 𝑐𝑜𝑠 2 𝜋(𝑓𝑚 +f𝑐 )𝑡
=⏟
2
carrier wave SSb wave

32. Output of the balanced modulator,


s(t) = cos (2  106t).cos(2  .108t) m(t) is the Hilbert transform of m(t)
1
= {𝑐𝑜𝑠[2𝜋(108 + 106 )𝑡] + 𝑐𝑜𝑠[2𝜋(108 – 106 )𝑡]} c1 = 2  fc1
2
After high-pass filtering m(t) = Am sin (2  f1t)
1
= 𝑔(𝑡) = cos[2𝜋(108 + 106 )𝑡] SSB-modulator
2
^
After adding another signal at the output, XSSB(t) = m(t)cos c1 t m (t)sin c1 t
we have
Lower-side-band SSB signal is represented
1
 
y(t) = cos (108 + 106 )2t + cos(108.2t)
2
1
2
as,
^
Its Hilbert transform, XSSB(t) = m(t)cos c1 t m (t)sin c1 t ...(i)
^
y (t) =
1
2
 
sin (108 + 106 )2t – cos(108.2t)
= Am cos c1 tsin (2  fmt) –Am sin c1 t.

cos(2  fmt)
^
Then, pre-envelope, y+(t) = Y(t) + j y (t) Now, Narrow band FM modulator is shown

1 j2(108 +106 )t 8 below to which SSB signal is being


or, y+(t) = e – j.e.j210 .t
2 applied–

59
www.gradeup.co

NBFM wave is represented as


XNBFM (t) =
A𝑐 𝑐𝑜𝑠(2𝜋𝑓c2 𝑡) – βA𝑐 sin(2πfc2 𝑡)sin(ωc1 – 𝜔𝑚 )
βAc
= Ac cos c2t– [cos ( c2 – c1 + m ) t
2
–cos(𝜔c2 + ωc1 − 𝜔𝑚 )𝑡] 38. Ordinary Am is expressed as
BW of XNBFM is XAM (t) = m(t) cos c t + A cos c (t)
6
= 2( c1 – m ) 2c1  2fc1  2 × 10 Hz
= [A + m(t)] cos c t
35. Given : ma = 0.5, Ac = 10.
Where as, angle-modulated signal is
Side-band power,
expressed
m2 A2 m2 100 0.25
Ps = Pc. a = c . a = ×
2 2 2 2 2 or xc(t) = A cos [ c (t) +  (t)]
Ps = 6.25 W.
d(t)
where  (t) = kpm(t) for PMand
36. Side band power, Ps = 6.25 W. at
Area under noise power spectral density is = kfm(t) for FM.
equal to the noise power. Mean noise
39. Modulated signal,
power,
s(t) = m(t).cos(2  fct)
 1 N
Pn =  Sn(t).dt = 4 ×  B  o = No.B
– 2 2 = cos (2  fmt).cos(2  fct)

The ratio of average sideband power to 1


= [cos2  (fc + fm) t + cos 2  (fc – fm)t]
mean noise power 2

PSB 6.25 25 First term represents upper side-band


= = .
PN NoB 4NoB signal and second one represents lower
37. y(t) = m(t) cos (2  t)+mh (t) sin (2  t) side-band signal.
m(t) = a cos m t
40. Power efficient transmission → FM
mh(t) = a sin m t Most bandwidth efficient → SSB-SC
 Transmission of voice signal
[Since shift by Hilbert transformer]
2
Simplest receives structure
y(t) =a[cos m t cos2  t+sin m t sin2  t]
→ conventional AM
= a cos [( m – 2  )t]
Bandwidth efficient transmission of → VSB
This is the equation of LSB.
Signals with significant DC component
m = 2  f

60
www.gradeup.co

41. m(t)  M(f) 1


= [rect(f – 20)– rect(f + 20)]
2j
X1 (f) repeats with a value f0 = 15Hz and
15
each impulse value is
2
Thus the sampled signal spectrum and the
spectrum of the filter are as follows :

After multiplication with


V(t) = cos (2  fct)
Let w1(t) =m(t).V(t)
W1(f) (spectrum of w1(t)) is

After his pass filter


15
 Xs(f) H(f) = [(f – 20)– (f + 20)]
4j

15
xr(t) = sin(40  t) → recovered signal
2
15  
= cos  40t– 
2  2 
After multiplication with cos (2  (fc + A)t)

and low pass filter of cut off fc Insert the neglected phase shift
4
 
42. Given signal is x(t) = cos 10t +  15   
 4  Xr(t) = cos  40t– + 
2  2 4

Neglect the phase-shift and it can be 15  
4 = cos  40t– 
2  4
inserted at the end result.
43. vi(t) = Ac cos (2  fct) + cos(2  fmt)
L
 If x1(f) = cos 10  t ⎯⎯⎯
→ X1(f)
v0(t) = avi(t) + b v12 (t)
1
= [(f – 5)+(f + 5)]
2 [aAc cos(2  fct) + a cos(2  fmt)]

Given filter impulse response is, + b[A 12 cos2(2  fct)+ cos2(2  fmt)
 sin t    + 2Ac cos(2  fct)cos((2  fmt))]
h(t)=   cos  40t– 
 t   2
Now passing above signal through band
= (sin ct) sin (40  t) pass filter we get,
1 y(t)=aAc cos2  fct+2bAc cos(2  fct) cos(2  fmt)
 H(f) = rect f* [(f – 20)– (f + 20)]
2j

61
www.gradeup.co

 2b  fIf = 15 MHz
= aAc 1 + cos(2fmt) cos(2fc t)
 a  fLo = 3500 MHz
2b Fs –fL0 = fIf= 3515 MHz
Now, modulation index, () =
a fsi = image frequency = fs – 2fIf

2 1 = 3515 – 2 × 15= 3485 MHz


Power of side band (PSB) = Pc = Pc
2 2 So, the image frequency is 3485 MHz.

 2 = 1   = 1 47. Hilbert transform does change the phase


–𝜋
by an angle or 90°
2b 2
 =1
a If g(t) = cos𝜔1 t + sin𝜔2 t
a then, Hilbert transform,
 =2
b 𝜋 𝜋
𝑔̂(t) = cos(𝜔1 t– ) + 𝑠𝑖𝑛 (𝜔2 t– )
2 2
44. I = 700 + 450 × 2= 1600 kHz
= sin𝜔1 t – cos𝜔2 t
fmax = 1650 + 450 = 2100 kHz
48. Spectrum of bandlimited signal m(t) is
fmin = 550 + 450 = 1000 kHz
shown in figure.
1 1
f=
2 LC

2100 LCmax
 =
1000 LCmin

LCmax
 = (2.1)2
LCmin
The frequency domain representation of
Cmax
 = 4.41 sampled version of message signal m(t) is
Cmin
𝑀𝑠 (𝑓) = f𝑠 ∑∞
n= –∞ 𝑀(f –nf𝑠 ).
45. From given data
The frequency range of superheterodyne
receiver
(fs) = 58 MHz – 60MHz
When fs = 58 MHz
fsi = fs + 2IF > 68 MHz
2IF > 10 MHz spectrum of sampled version of message
 IF > 5 MHz signal is shows in figure.
So, the minimum required F1F is 5 MHz. A bandlimited signal is sampled at Nyquist
46. rate, i.e., 2fm, so spectrum of sampled
signal is very close to each other but they
are not over-lapped. Ideal low pass filter
with appropriate bandwidth must be used
to recover the message signal.

62
www.gradeup.co

49. = CAC cos(2𝜋fct)m(t) + nI cos(2𝜋fct)


– n2(t) sin (2𝜋fct)
hI = In phase component of n(t)
uQ = quadrative component of u(t)

2sin(2𝜋𝑡).cos(200π𝑡) Output of product modulator,


𝑦1 (𝑡) = m(𝑡)𝑠(𝑡) =
𝑡
v(t) = x(t)
sin(202π𝑡)– sin(198π𝑡)
= 1 1 1
𝑡 cos(2𝜋fct) = CA𝐶 𝑚(𝑡) + 𝑛𝐼 (𝑡) + [CA𝐶 𝑚(𝑡)+n𝐼 𝑡]
2 2 2
Y2(t)= y1 (𝑡)+n(𝑡) 1
.cos (4𝜋𝑓𝑐 𝑡)– 𝑛𝑄 (𝑡)sin(4𝜋𝑓𝑐 𝑡)
sin(202π𝑡)– sin(198π𝑡) + sin(199π𝑡) 2
= y3(t)
𝑡
Low-pass filter given
= y2(t).s(t) 1 1
sin(202π𝑡) .cos(200π𝑡)– sin198πt .cos200π𝑡 sin199πt .cos200π𝑡
y(t) = CACm(t)+ nI(t)
2 2
= +
𝑡 𝑡
[Only in-phase component]
𝑠𝑖𝑛 402𝜋𝑡+𝑠𝑖𝑛 2𝜋𝑡–𝑠𝑖𝑛 398𝜋𝑡+𝑠𝑖𝑛 399𝜋𝑡
=
+ 𝑠𝑖𝑛 (0.5𝜋𝑡).𝑐𝑜𝑠 (1.5𝜋𝑡)
51. ⇒ Ring modulator : is used to generate
𝑡

After low-pass filterings, DSB-SC signal.


𝑠𝑖𝑛 2𝜋𝑡+𝑠𝑖𝑛 (0.5𝜋𝑡).(𝑐𝑜𝑠 1.5𝜋𝑡) ⇒ VCO : is used to generate FM in
y(t) =
𝑡
parameter variation method.
50.
⇒Foster-Seely discriminator : comes under
phase discriminator method of FM
modulation.
⇒ Mixer : is used to translate frequency
from one to another.
1 1
DSB – SC signal is expressed as S(t) 52. < RC <
𝑓𝑐 𝜔𝑚

= CAc cos (2𝜋fct).m(t) (here C is contant) where, 𝜔𝑚 = message bandwidth


Average power of DSB-SC signal, = 2 × 2 × 103 = 4kHz
CA2𝑐 𝑃 1
𝑃𝑠 =
2 ∴10–6< RC < × 10–3
4

Hence P is the average power of message i.e. 10–6< RC <25 𝜇s.


signal. 53. y(t) = sin (104 × 2𝜋t + sin (10.1 × 1032𝜋t)
𝑁0
For noise spectral density of , average After high-pass filtering, we have output,
2

noise power in the message bandwidth is s(t) = sin (10.1 × 103 × 2𝜋t).
WN0. 54. for proper opuation of envelope detector,
CA2𝐶 𝑃 time contact RC should lie between Tc and
then, (SNR)C,DSB =
2WN0
Tm, i.e., Tc< RC < Tm
Using narrow band representation of the
𝑖 1
filtered noise u(t), total signal at the < RC < .
𝜔𝑐 𝜔

coverent detector input,


x(t) = s(t) + n(t)

63
www.gradeup.co

55. Hence, the condition for satisfactory


operation
1 1
≪ 𝑅𝐿 𝐶 ≪
𝑓𝑐 𝑊

W(highest frequency in m(t)) = 2000 Hz


fc = 1 MHz
The, 1𝜇𝑠 ≪ 𝑅𝐿 𝐶 ≪ 6.5ms
56. Here the required diagram of frequency
Circuit diagram of Envelope detection
synthesizer consisting of phase locked loop
Modulating signals are normally restricted
(PPL) and a divide by-N counter is shown
to a specific frequency range which limits
below.
the maximum rate of fall of AM’s have
amplitude. This rate of range of m(t)
depends upon the triphent frequency in
M(f).
During discharging capacitor C, time
constant should be large enough so that C
does not discharge too much between the fin Divide by N VCO output (Nfin)

positive peaks of the carrier, it should be 5KHz 2 10 kHz

rather small enough to follow the 5KHz 4 20 kHz


maximum rate of charge of m(t). 5KHz 8 40 kHz

that is, RLC ≪


1
5KHz 16 80 kHz
𝑊

Now, during charging, capacitor should be


So, the corresponding frequencies
charged in smallest time possible, for this
synthesizer are respectively 10 kHz, 20
to be hold,
kHz, 40 kHz, 80 kHz.
1
RsC≪
𝑓𝑐

❖❖❖❖

64
www.gradeup.co

65
www.gradeup.co

66
www.gradeup.co

67
3
www.gradeup.co

Digital Communication

Analysis of Previous GATE Papers

2017 Set 1

2017 Set 2

2016 Set 1

2016 Set 2

2016 Set 3

2015 Set 1

2015 Set 2

2015 Set 3
2020

2019

2018
Year →
Topic
Topic ↓

MCQ Type 1 1
1 Mark
Numerical Type 1
Digital Modulation Schemes MCQ Type
2 Marks
Numerical Type
Total 1 1 1
MCQ Type 1
1 Mark
Numerical Type
ASK, FSK, PSK, QAM MCQ Type
2 Marks
Numerical Type
Total 1
MCQ Type 1
1 Mark
Numerical Type
Matched Filter Receiver,
MCQ Type 1
MAP and NL Decoding 2 Marks
Numerical Type 1 1
Total 2 2 2 1
MCQ Type 1 1
1 Mark
Numerical Type 1 1 1 1
Bandwidth, SNR and
MCQ Type 1 1
BER for Digital Modulation 2 Marks
Numerical Type 1 1 1
Total 3 1 1 1 1 1 2 4 2

68
www.gradeup.co

DIGITAL MODULATION SCHEMES C. the accumulator is in saturation.


D. the speech signal is being sampled at
1. A sinusoidal signal with peak-to-=peak
the Nyquist rate.
amplitude of 1.536 V is quantized into 128
[2004 :1 Marks]
levels using a mid-rise uniform quantizer.
5. The minimum step-size required for Delta-
The quantization-noise power is
Modulator operating at 32 K samples/sec
A. 0.768 V B. 48 × 10–6 V2
to track the signal (here u(t) is the unit-
C. 12 × 10–6 V2 D. 3.072 V
step function)
[2003 :2 Marks] x(t) = 125t(u(t) – u(t – 1) + (250 – 125t)
2. The input to a linear delta modular having (u(t – 1) – u(t – 2) so that slope-overload
a step-size ∆ = 0.628 is a sine wave with is avoided, would be
frequency fm and peak amplitude Em. If the A. 2–10 B. 2–8
sampling frequency fs = 40 kHz, the C. 2–6 D. 2–4
combination of the sine-wave frequency [2006 :2 Marks]
and the peak amplitude, where slope 6. In the following figure the minimum value
overload will take place is of the constant “C”, which is to be added
Em fm to y1(t) such that y1(t) and y2(t) are
A. 0.3 V 8 KHz different, is
B. 1.5 V 4 KHz
C. 1.5 V 2 KHz
D. 3.0 V 1 KHz
[2003 :2 Marks]
𝛥
A. 𝛥 B.
3. In a PCM system, if the code word length is 2
𝛥2 𝛥
increased from 6 to 8 bits, the signal to C. D.
12 𝐿

quantization noise ratio improves by the [2006 :2 Marks]


factor 7. In delta modulation, the slope overload
A. 8/6 B. 12 distortion can be reduced by
C. 16 D. 8 A. decreasing the step size.
[2004 :1 Marks] B. decreasing the granular noise.
4. In the output of a DM speech encoder, the C. decreasing the sampling rate.

consecutive pulses are of opposite polarity D. increasing the step size.

during time interval t 1 ≤ t ≤ t2. This [2007 :2 Marks]

indicates that during this interval 8. An analog voltage in the range 0 to 8 V is

A. the input to the modulator is essentially divided in 16 equal interval for conversion
to 4-bit digital output. The maximum
constant.
quantization error (in V) is ________.
B. the modulator is going through slope
[2014 :1 Marks, Set-3]
overload.

69
www.gradeup.co

9. In a PCM system, the signal D. The difference of message signal sample


m(t) = sin(100𝜋t) + cos(100𝜋t) with its prediction is quantized.
is sampled at the Nyquist rate. The [2017 :1 Marks, Set-1]

samples are processed by a uniform


ASK, FSK, PSK, QAM
quantizer with step size 0.75 V. The
minimum data rate of the PCM system in 13. In a digital communication system
employing Frequency Shift Keying (FSK),
bits per second is________.
the 0 and 1 bit are presented by sine
[2014 :2 Mark, Set-3]
waves of 10 kHz and 25 respectively.
10. A sinusoidal of 2 kHz frequency is applied
These waveforms will be orthogonal for a
to a delta modulator. The sampling rate
bit interval of
and step-size 𝛥 of the delta modulator are
A. 45 μsec B. 200 μsec
20,000 samples per second and 0.1 V,
C. 50 μsec D. 250 μsec
respectively. To prevent slope overload,
[2000 :2 Marks]
the maximum amplitude of the sinusoidal 14. If S represents the carrier synchronization
signal (in Volts) is at the receiver and p represents the
1 1
A. B. bandwidth efficiency binary PSK is
2𝜋 𝜋
1 A. 𝜌 = 0.5, S is required
C. D. 𝜋
3𝜋
B. 𝜌 = 1.0, S is required
[2015 :1 Marks, Set-1]
C. 𝜌 = 0.5, S is not required
11. A sinusoidal signal of amplitude A is
D. 𝜌 = 1.0, S is not required
quantized by a uniform quantizer. Assume
[2003 :2 Marks]
that the signal utilizes all the 15. Choose the correct one from among the
representation levels of the quantizer. If alternatives a, b, c, dafter matching an
the signals to quantization noise ratio is item from Group 1 with the most
31.8 dB, the number of levels in the appropriate item in Group 2.
quantizer is _______. Group 1 Group 2
[2015 :1 Marks, Set-2] 1. FM P. Slope overload

12. Which one of the following statements 2. DM Q. µ-law

about differential pulse code modulation 3. PSK R. Envelope detector


4. PCM S. Capture effect
(DPCM) is true?
T. Hibert transform
A. The sum of message signal sample with
U. Matched filter
its prediction is quantized.
A. 1-T, 2-P, 3-U, 4-S
B. The message signal sample is directly
B. 1-S, 2-U, 3-P, 4-T
quantized, and its prediction is not used.
C. 1-S, 2-P, 3-U, 4-Q
C. The difference of message signal sample
D. 1-U, 2-R, 3-S, 4-Q
and a random signal is quantized. [2004 :2 Marks]

70
www.gradeup.co

Linked Data Question 16&17 : MATCHED FILTER RECEIVER, MAP &ML


A four-phase and an eight-phase signal DECODING

constellation are shown in the figure 19. Consider a sample signal 𝑦(𝑡)   =  5 ×
below. 10–6 𝑥(𝑡) ∑+∞
𝑛=–∞ 𝛿 (𝑡– 𝑛𝑇𝑠 )

where x(t) = 10cos(8 𝜋 × 103)t and Ts =


100 μsec. When y(t) is passed through of 5
kHz, the output of the filter is
A. 5 × 10–6cos(8𝜋 × 103)t.
B. 5 × 10–5cos(8𝜋 × 103)t.
C. 5 × 10–1cos(8𝜋 × 103)t.
D. 10cos(8𝜋 × 103)t.
16. For the constraint that the minimum
[2002 :1 Mark]
distance between pairs of signal, points be
20. A signal x(t) = 100cos(24 𝜋 × 103)t is
d for both constellation, the radii r 1a and r2
ideally sampled with a sampling period of
of the circles are
50 psec and then passed through an ideal
A. r1 = 0.707 d, r2 = 2.782 d
lowpass filter with cutoff frequency of
B. r1 = 0.707 d, r2 = 1.932 d
15kHz. Which of the following frequencies
C. r1 = 0.707 d, r2 = 1.545 d
is/are present at the filter output?
D. r1 = 0.707 d, r2 = 1.307 d
A. 12 kHz only B. 8 kHz only
[2011 :2 Marks]
C. 12 kHz and 9 kHz D. 12 kHz and 8 kHz
17. Assuming high SNR and that all signals are
[2003 :2 Marks]
equally probable, the additional average
21. If Eb, the energy per bit of a binary digital
transmitted signal energy required by the
signal, is 10–5 Watt-sec and the one-sided
8-PSK signal to achieve the same error
power spectral density of the white noise,
probability as the 4-PSK signal is
N0 =10–6WHz, then the output SNR of the
A. 11.90 dB B. 8.73dB
matched filter is
C. 6.79dB D. 5.33dB
A. 26 dB B. 10 dB
[2011 :2 Marks]
C. 20 dB D. 13 dB
18. The modulation scheme commonly used
[2003 :2 Marks]
for transmission from GSM mobile terminal
22. Consider the signal x(t) shown in the
is
figure. Let h(t) denote the impulse
A. 4-QAM
response of the filter matched to x(t), with
B. 16-PSK
h(t) being non-zero only in the interval 0
C. Walsh-Hadamard orthogonal codes
to 4 sec. The slope of h(t) in the interval 3
D. Gaussian Minimum Shift Keying (GMSK)
< t < 4 sec is
[2015 :1 Mark, Set-3]

71
www.gradeup.co

1
A.  𝑠𝑒𝑐 –1 B. –1 sec–1 [2005 :2 Marks]
2
1 24. The raised cosine pulse p(t) is used for
C. –   𝑠𝑒𝑐 –1 D. 1 sec–1
2
zero ISI in digital communications. The
[2004 :2 Marks]
expression for p(t) with unity roll-off factor
23. A signal as shown in the figure is applied 𝑠𝑖𝑛  4𝜋𝜔𝑡
is given by p(t) = . The value
4𝜋𝜔𝑡 (1–16𝜔2 𝑡 2 )
to a matched filter. Which of the following
1
of p(t) at t = is
does represent the output of this matched 4𝜔

filter? A. –0.5 B. 0
C. 0.5 D. ∞
[2007 :2 Marks]
25. Consider the pulse shape s(t) s shown. The
impulse response h(t) of the filter matched
to this pulse is

72
www.gradeup.co

[2016 :1 Mark, Set-3]


27. In binary frequency shift keying (FSK), the
given signal waveforms are
u0(t) = 5cos(20000𝜋t); 0 ≤ t ≤ 7 and
u1(t) = 5cos(22000𝜋t); 0 ≤ t < T,
where T is the bit-duration interval and t is
in seconds. Both u0(t) and u1(t) are zero
[2010 :1 Mark] outside the interval 0 ≤ t ≤ T. With a
26. A binary baseband digital communication matched filter (correlator) based receiver,
system employs the signal the smallest positive value of T (in
1
, 0  ≤  𝑡 ≤ 𝑇𝑠 milliseconds) required to have u 0(t) and
𝑝(𝑡)   =   {√𝑇𝑠
0, 𝑜𝑡ℎ𝑒𝑟𝑤𝑖𝑠𝑒; u1(t) uncorrelated is
for transmission of bits. The graphical A. 0.25 ms B. 0.5 ms
representation of the matched filter output C. 0.75 ms D. 1.0 ms
y(t) for this signal will be [2017 :2 Marks, Set-1]
28. a random variable X takes values –0.5 and
1 3
0.5 with probabilities and , respectively.
4 4

The noisy observation of X is Y = X + Z,


where Z has uniform probability density
over the interval (–1, 1). X and Z are
independent. If the MAP rule baaed
detector outputs 𝑋̂ as
– 0.5, 𝑌  <  𝛼
𝑋̂   =   {
0.5, 𝑌  ≥  𝛼
then the value of 𝛼 (accurate to two
decimal places) is _______.
[2018 :2 Marks]
29. A voice signal m(t) is in the frequency
range 5 kHz to 15 kHz. The signal is
amplitude modulated to generate an AM
signal f(t) = A (l + m (t)) cos 2𝜋fct, where
fc = 600kHz.

73
www.gradeup.co

The Am signal f(t) is to be digitized and 33. For a bit-rate of 8 Kbps, the best possible
archived. This is done by first sampling f(t) values of the transmitted frequencies in a
at 1.2 times the Nyquist frequency, and coherent binary FSK system are
then quantizing each sample using a 256- A. 16 kHz and 20 kHz
level quantizer. Finally, each quantized B. 20 kHz and 32 kHz
sample is binary coded using K bits, where
C. 20 kHz and 40 kHz
K is the minimum number of bits required
D. 32 kHz and 40 kHz
for the encoding. The rate, in Megabits per
34. At a given probability of error, binary
second (rounded off to 2 decimal places),
coherent FSK is inferior to binary coherent
of the resulting stream of coded bits its
PSK by
_________ Mbps.
A. 6 dB B. 3 dB
[2019 :2 Marks]
C. 2 dB D. 0 dB
BANDWIDTH, SNR & BER FOR DIGITAL [2003 :1 Mark]
MODULATION
35. Let x(t) = 2cos(800𝜋t) + cos(1400𝜋t).x(t)

30. A video transmission system transmits 625 is sampled with the rectangular pulse train

picture frames per second. Each frame shown in the figure. The only spectral
consists of a 400 × 400 pixel grid with 64 components (in kHz) present in the
intensity levels per pixel. The data rate of sampled signal in the frequency range 2.5
the system is kHz to 3.5 kHz are
A. 16 Mbps B. 100 Mbps
C. 600 Mbps D. 6.4 Gbps
[2001 :2 Marks]
31. The Nyquist sampling interval, for the
signal sinc(700t) + sin c(500t) is
1 𝜋
A. 𝑠𝑒𝑐 B. 𝑠𝑒𝑐
350 350
1 𝜋
C. 𝑠𝑒𝑐 D. 𝑠𝑒𝑐
700 175

[2001 :2 Marks] A. 2.7, 3.4


32. During transmission over a communication B. 3.3, 3.6
channel, bit errors occur independently C. 2.6, 2.7, 3.3, 3.4, 3.6
with probability p. If a block of n bits is
D. 2.7, 3.3
transmitted, the probability of at most one
[2003 :2 Marks]
bit error is equal to
36. A signal is sampled at 8 kHz and is
A. 1 – (1 – p)n
quantized using 8-bit uniform quantizer.
B. p + (n – 1)(1 – p)
Assuming SNRq for a sinusoidal signal, the
C. np (1 – p)n–1
correct statement for PCM signal with a bit
D. (1 – p)n + np(1 – p)n–1
[2001 :2 Marks] rate of R is

74
www.gradeup.co

A. R = 32 kbps, SNRq = 25.8 dB 38. Consider a binary digital communication


B. R = 64 kbps, SNRq = 49.8 dB system with equally likely 0’s and 1’s.

C. R = 64 kbps, SNRq = 55.8 dB When binary 0 is transmitted the detector

D. R = 32 kbps, SNRq = 49.8 dB input can lie between the levels –0.25V

[2003 :2 Marks] and +0.25V with equal probability : when


binary 1 is transmitted, the voltage at the
37. A source produces binary data at the rate
detector can have any value between 0
of 10 kbps. The binary symbols are
and 1 V with equal probability. If the
represented as shown in the figure.
detector has a threshold of 0.2 V (i.e., is
the received signal is greater than 0.2 V,
the bit is taken s 1), the average bit error
probability is
A. 0.15 B. 0.2
C. 0.05 D. 0.5
[2004 :2 Marks]
39. Three analog signals, having bandwidths
1200 Hz 600 Hz and 600 Hz, are sampled
at their respective Nyquist rates, encoded
with 12-bit words, and time division
multiplexed. The bit rate for the
multiplexed signal is
A. 115.2 kbps B. 28.8 kbps
C. 57.6 kbps D. 38.4 kbps
[2004 :2 Marks]
The source output is transmitted using two 40. The minimum sampling frequency (in
modulation schemes, namely Binary PSK samples/sec) required to reconstruct the
(BPSK) and Quadrature PSK (QPSK). Let following signal from its samples without
B1 and B2 be the bandwidth requirements distortion
of BPSK and QPSK respectively. Assuming 𝑠𝑖𝑛 2𝜋 1000𝑡 3 𝑠𝑖𝑛 2𝜋 1000𝑡 2
𝑥(𝑡)   =  5 ( ) +  7 ( )
𝜋𝑡 𝜋𝑡
that the bandwidth of the above
would be
rectangular pulses is 10 kHz, B1 and B2 are A. 2 × 103 B. 4 × 103
A. B1 = 20 kHz, B2 = 20 kHz. C. 6 × 103 D. 8 × 103
B. B1 = 10 kHz, B2 = 20 kHz. [2006 :2 Marks]
C. B1 = 20 kHz, B2 = 10 kHz. 41. During transmission over a certain binary
D. B1 = 10 kHz, B2 = 10 kHz. communication channel, bit errors occur
[2004 :2 Marks] independently with probability p.

75
www.gradeup.co

The probability of AT MOST one bit in error C. Probability of symbol error is equal for
in a block of n bits is given by both the constellations
A. pn D. The value of N0 will determine which of

B. 1 – pn the two constellations has a lower

C. np(1 – p)n–1 + (1 – p)n probability of symbol error

D. 1 – (1 – p)n [2007 :2 Marks]

[2007 :2 Marks] Statement for Linked Answer

Common Data for Questions 42&43: Questions 44 and 45 :

Two 4-ary signal constellations are shown. An input to a 6-level quantizer has the
probability density function f(x) as shown
It is given that 𝜙 1 and 𝜙 2 constitute an
in the figure. Decision boundaries of the
orthonormal basis for the two
quantizer are chosen so as to maximize
constellations. Assume that the four
the entropy of the quantizer output. It is
symbols in both the constellations are
𝑁0
given that 3 consecutive decision
equiprobable. Let denote the power
2 boundaries are ‘–1’, ‘0’ and ‘1’.
spectral density of white Gaussian noise.

42. The ratio of the average energy of 44. The values of a and b are
1 1
Constellation 1 to the average energy of A. 𝑎  =    𝑎𝑛𝑑 𝑏  =  
6 12
Constellation 2 is 1
B. 𝑎  =    𝑎𝑛𝑑 𝑏  =  
3
5 40
A. 4a2 B. 4 1 1
C.𝑎  =    𝑎𝑛𝑑 𝑏  =  
4 16
C. 2 D. 8
1 1
D. 𝑎  =    𝑎𝑛𝑑 𝑏  =  
[2007 :2 Marks] 3 24

43. If these constellations are used for digital [2007 :2 Marks]

communications over an AWGN channel, 45. Assuming that the reconstruction levels of
the quantizer are the mid-points of the
then which of the following statements is
decision boundaries, the ratio of signal
true?
powr to quantization noise power is
A. Probability of symbol error for
152 64
A. B.
Constellation 1 is lower 9 3
76
B. Probability of symbol error for C. D. 28
3

Constellation 1 is higher [2007 :2 Marks]

76
www.gradeup.co

46. Consider a Binary Symmetric Channel Common Data for Questions 50, 51:
(BSC) with probability of error being p. To The amplitude of a random signal is
transmit a bit, say 1, we transmit a uniformly distributed between –5V and 5V.
sequence of three 1 s. The receiver will 50. If the signal to quantization noise ratio
interpret the received sequence to required in uniformly quantizing the signal
represent 1 if at least two bits are 1. The
is 43.5 dB, the step size of the
probability that the transmitted bit will be
quantization is approximately
received in error is
A. 0.0333V B. 0.05V
A. p3 + 3p2 (1 – p) B. p3
C. 0.0667V D. 0.10V
C. (1 – p)3 D. p3 + p2 (1 – p)
[2009 :2 Marks]
[2008 :2 Marks]
51. If the positive values of the signal are
Common Data for Questions 47, 48 and
49: uniformly quantized with a step size of

A speech signal, band limited to 4 kHz and 0.05 V, and the negative values are

peak voltage varying between +5 V and –5 uniformly quantized with a step size of 0.1
V, is sampled at the Nyquist rate. Each V, the resulting signal to quantization noise
sample is quantized and represented by 8 ratio is approximately
bits. A. 46 dB B. 43.8 dB
47. If the bits 0 and 1 are transmitted using
C. 42 dB D. 40 dB
bipolar pulses, the minimum bandwidth
[2009 :2 Marks]
required for distortion free transmission is
52. The Nyquist sampling rate for the signal
A. 64 kHz B. 32 kHz
𝑠𝑖𝑛(500𝜋𝑡) 𝑠𝑖𝑛(700𝜋𝑡)
𝑠(𝑡)   =   ×   𝑖𝑠 𝑔𝑖𝑣𝑒𝑛 𝑏𝑦
C. 8 kHz D. 4 kHz 𝜋𝑡 𝜋𝑡

[2008 :2 Marks] A. 400 Hz B. 600 Hz

48. Assuming the signal to be uniformly C. 1200 Hz D. 1400 Hz


distributed between its peak value, the [2010 :2 Marks]
signal to noise ratio at the quantizer output 53. An analog signal is band-limited to 4 kHz,
is sampled at the Nyquist rate and the
A. 16 dB B. 32 dB
samples are quantized into 4 levels. The
C. 48 dB D. 64 dB
quantized levels are assumed to be
[2008 :2 Marks]
independent and equally probable. If we
49. The number of quantization levels required
transmit two quantized samples per
to reduce the quantization noise by a
second, the information rate is
factor of 4 would be
A. 1 bit/sec B. 2bits/sec
A. 1024 B. 512
C. 256 D. 64 C. 3bits/sec D. 4bits/sec

[2008 :2 Marks] [2011 :1 Mark]

77
www.gradeup.co

54. In a baseband communications link, 32-QAM. The minimum bandwidth required


frequencies upto 3500 Hz are used for for ISI free transmission is
signaling. Using a raised cosine pulse with A.
𝑅
𝐻𝑧 B.
𝑅
𝑘𝐻𝑧
10 10
75% excess bandwidth and for no inter- 𝑅 𝑅
C. 𝐻𝑧 D. 𝑘𝐻𝑧
5 5
symbol interference, the maximum
[2013 :1 Mark]
possible signaling rate in symbols per
Common Data for Questions 58, 59:
second is
Bits 1 and 0 are transmitted with equal
A. 1750 B. 2625
probability. At the receiver, the pdf of the
C. 4000 D. 5250
respective received signals for both bits
[2012 :1 Mark]
are as shown below:
55. A binary symmetric channel (BSC) has a
transition probability of 1/8. If the binary
transmit symbol X is such that P(X = 0) =
9/10, the probability of error for an
optimum receiver will be
7 63
A. B.
80 80
9 1 58. If the detection threshold is 1, the BER will
C. D.
10 10
be
[2012 :2 Marks] 1 1
A. B.
56. A BPSK scheme operating over an AWGN 2 4
1 1
C. D.
channel with noise power spectral density 8 16

of
𝑁0
, use equiprobable signals [2013 :2 Marks]
2
59. The optimum threshold to minimum bit
2𝐸
𝑠1(𝑡)   =   √ 𝑠𝑖𝑛   (𝜔𝑐 𝑡) 𝑎𝑛𝑑 𝑠2 (𝑡)  error rate (BER) is
𝑇
1 4
2𝐸 A. B.
=   −√ 𝑠𝑖𝑛   (𝜔𝑐 𝑡) 2 5
𝑇 3
C. 1 D.
2
over the symbol internal (0, T). If the local
[2013 :2 Marks]
oscillator in a coherent receiver is ahead in
60. Let 𝑄(√𝛾) be the BER of a BPSK system
phase by 45° with respect to the received
over an AWGN channel with-sided noise
signal, the probability of error in the 𝑁0
power spectral density is shown in the
2
resulting system is
figure. The parameter 𝛾 is a function of bit
2𝐸 𝐸
A. 𝑄 (√ ) B. 𝑄 (√ ) energy and noise power spectral density. A
𝑁0 𝑁0

𝐸 𝐸
system with two independent and AWGN
C. 𝑄 (√ ) D. 𝑄 (√ ) 𝑁0
2𝑁 0 4𝑁 0 channels with power spectral density is
2
[2012 :2 Marks] shown in the figure.The BPSK demodular
57. The bit rate of digital communication receives the sum of outputs of both the
system is Rkbits/s. The modulation used is channels.

78
www.gradeup.co

If the BER of this system is 𝑄(𝑏√𝛾), then


the value of b is _________.
[2014 :2 Marks, Set-1] [2015 :2 Marks, Set-1]
61. Coherent orthogonal binary FSK 1
64. A source emits bit 0 with probability and
3
modulation is used to transmit two
2
bit 1 with probability . The emitted bits
equiprobable symbol waveforms s1(t) = 3

𝛼 𝑐𝑜𝑠 2 𝜋𝑓1 𝑡&𝑠2 (𝑡)   =  𝛼 𝑐𝑜𝑠 2 𝜋𝑓2 𝑡1 where 𝛼 = 4 are communicated to the receiver. The

mV. Assume an AWGN channel with two- receiver decides for either 0 or 1 based on

sided noise power spectral density


𝑁0
= 0.5 the received value R. It is given that the
2

× 10–12 W/Hz. Using an optimal receiver conditional density function of R as


1
∞ 𝑢2 , –3 ≤ 𝑟 ≤ 1
1 – 
and the relation Q(v) = ∫ 𝜃 2 𝑑𝑢, the 𝑓𝑅|0 (𝑟)   =   {4
√2𝜋 𝜈 0, 𝑜𝑡ℎ𝑒𝑟𝑤𝑖𝑠𝑒;
bit error probability for a data rate of 500 1
, –1 ≤ 𝑟 ≤ 5
𝑓𝑅|1 (𝑟)   =   {6
kbps is 0, 𝑜𝑡ℎ𝑒𝑟𝑤𝑖𝑠𝑒;
A. Q(2) B. Q(2√2) The minimum decision error probability is
C. Q(4) D. Q(4√2) A. 0 B.
1
12
[2014 :2 Marks, Set-2] 1 1
C. D.
9 6
62. An M-level PSK modulation scheme is used
[2015 :2 Marks]
to transmit independent binary digits over
a band-pass channel with bandwidth 100 65. Consider a binary, digital communication

kHz. The bit rate is 200 kbps and the system which uses pulses g(t) and –g(t)

system characteristic is a raised-cosine for transmitting bits over an AWGN

spectrum with 100% excess bandwidth. channel. If the receiver uses a matched
The minimum value of M is ________. filter, which one of the following pulses will
[2014 :2 Marks, Set-4] give the minimum probability of bit error?
63. The input X to Binary Symmetric Channel
(BSC) shown in the figure is ‘1’ with
probability of 0.8.
1
The cross-over probability is . If the
7

received bit Y = 0, the conditional


probability that ‘1’ was transmitted is
__________.

79
www.gradeup.co

spectrum that utilizes the entire frequency


band is ________.
[2016 :2 Marks]
68. A speech signal is sampled at 8 kHz and
encoded into PCM format using 8
bits/sample. The PCM data is transmitted
through a baseband channel via 4-level
PAM. The minimum bandwidth (in kHz)
required for transmission is________.
[2016 :2 Marks]
69. The bit error probability of a memoryless
binary symmetric channel is 10–5. If 105
bits are sent over this channel, then the
probability that not more than one bit will
in error is________.
[2016 :2 Marks]
70. In a digital communication system, the
overall pulse shape p(t) at the receiver
before the sampler has the Fourier
transform P(f). If the symbols are
transmitted at the rate of 2000 symbols
per second, for which of the following
cases is the inter symbol interference zero?
[2015 :2 Marks]
66. Consider a binary data transmission at a
rate of 56 kbps using baseband binary
pulse amplitude modulation (PAM) that is
designed to have a raised-cosine spectrum.
The transmission bandwidth (in kHz)
required of a roll-off factor of 0.25 is
_________.
[2016 :1 Mark]
67. An ideal band-pass channel 500 Hz-2000
Hz is deployed for communication. A
modem is designed to transmit bits at the
rate of 4800 bits/s using 16-QAM. The roll-
off factor of a pulse with raised cosine

80
www.gradeup.co

If the detection threshold is zero, then the


probability of error (correct to two decimal
places) is ________.
[2018 :1 Mark]
73. A digital communication system transmits a
[2017 :1 Mark] block of N bits. The probability of error in
71. A sinusoidal message signal is converted to decoding a bit is a α. The error event of
a PCM signal using a uniform quantizer. each bit is independent of error events of
The required signal to quantization noise other bits. The received block is declared
ratio (SQNR) at the output of the quantizer erroneous if at least one of the bits is

is 40 dB. The minimum number of bits per decoded wrongly. The probability that the

sample needed to achieve the desired received block is erroneous is


A. N(1 – α) B. 1 – αN
SQNR is ________.
C. αN D. 1–(1 – α)N
[2017 :1 Mark]
[2020 : 1 Mark]
72. A binary source generates symbols X ∈ (–
74. In a digital communication system, a
1, 1) which are transmitted over a noisy
symbol S randomly chosen from the set
channel. The probability of transmitting X
{s1,s2,s3,s4} is transmitted. It is given that
= 1 is 0.5. Input to the threshold detector
s1 = -3, s2 = -1, s3 = +1 and s4 = +The
is R = X + N. The probability density received symbol is Y = S + W. W is a zero-
function fN(n) of the noise N is shown mean unit-variance Gaussian random
below. variable and is independent of S. P, is the
conditional probability of symbol error for
the maximum likelihood (ML) decoding
when the transmitted symbol S = si. The
index i for which the conditional symbol
error probability Pi is the highest is …….
[2020 : 2 Marks]

81
www.gradeup.co

82
www.gradeup.co

ANSWER

1. C 2. B 3. B 4. A 5. B 6. B 7. D 8. 0.25 9. 200 10. A

11. 32 12. D 13. B 14. A 15. C 16. D 17. D 18. D 19. C 20. D

21. B 22. B 23. C 24. C 25. C 26. C 27. B 28. –0.5 29. 0.192 30. D

31. C 32. D 33. D 34. B 35. D 36. A 37. C 38. A 39. C 40. C

41. C 42. B 43. A 44. A 45. D 46. A 47. A 48. C 49. B 50. C

51. C 52. C 53. D 54. C 55. A 56. B 57. B 58. D 59. B 60. C

61. C 62. 16 63. 0.4 64. D 65. A 66. 35 67. 0.25 68. 16 69. 0.735 70. B

71. 7 72. 0.125 73. D 74. 3

SOLUTION

1. Step-size, ___ ___ Δ =


2𝑚𝑝
= 0.012 4. When signal is constant, then in Delta
𝐿
Modulation consecutive pulses are of
then, quantization – noise power
𝛥2 (0.012)2
opposite polarity.
<𝑞𝑒2 >= = = 12× 10–6 V2
12 12

2. For slope overload to take place


| X (t) |max> fs Δ ...(i)
Now X(t) = Em sin 2𝜋 fm t
⇒| X(t)|max = Emfm × 2𝜋 ...(ii)
5. x(t) can be sketched as
= 6.28 Emfm
fs = 40 kHZ
Δ = 0.628
⇒Δfs = 25.12 kHZ
(a)→ 6.28 × 0.3 × 8 = 15.07 < 25.12
(b) →6.28 × 1.5 × 4 = 37.68 > 25.12
(c) →6.28 × 1.5 × 2 = 18.44 < 25.12
to avoid slope - overload,
(d) →6.28 × 3 × 1 = 18.84 < 25.12
𝛥 𝑑𝑚 (𝑡)
(𝑆𝑁𝑅)2 22𝑛2 ≥
3. ρ= = 𝑇𝑠 𝑑𝑡
(𝑆𝑁𝑅)1 22𝑛1
125
Here n1 = 6, n2 = 8 , then ⇒Δ.32.1024 ≥ ⇒Δ ≥ 2–8
2

ratio, ρ = 22(n2 –n1 ) = 16.

83
www.gradeup.co

6. The minimum value that can make y 1(t) 11. Signal power =
𝐴2
2

different from y2(t) is Quantization step size, Δ =


2𝐴
𝐿
𝐿𝑆𝐵 𝛥
± = 𝛥2 4𝐴2 𝐴2
2 2 Quantization noise power = = =
12 12𝐿2 3𝐿2
7. For slope overload not to occur. 3
⇒Signal to quantization noise ratio = 𝐿2
2
𝛥 𝑑
≥ 𝑚(𝑡) Given signal to quantization noise ratio
𝑇𝑠 𝑑𝑡

= 31.8dB or 1513.6
3
⇒ 𝐿2 = 1513.56
2

⇒L = 31.76
⇒L ≈ 32
12. In DPCM, difference of massage signal
sample with its prediction is quantized.
13. In a bit interval each wave should contain
integral number of cycles for orthogonal to
if m (t) rate is high, Δ must increase.
each other i.e.,
𝑠𝑡𝑒𝑝–𝑠𝑖𝑧𝑒
8. Maximum quantization error is 1
2 Δω=
2𝑇
8–0 1
step – size = = = 0.5V 14. For coherent BPSK synchronization
16 2
1
Quantization error = 0.25 V required for detection and efficiency is .
2

9. Nyquist rate = 2 × 50Hz 15. FM→ capture effect


DM→slope overload
= 100 samples/sec
PSK→ matched filter
m(t)max – m(t)min
Δ= PCM→ μ – law
L
𝜋
√2–(–√2) 2√2
16. For M– ary, d = 2 sin ( ) √𝐸𝑆
𝑀
⇒L = = = 3.77≅4.
0.75 0.75
Distance of any point from origins is √𝐸𝑆
Number of bits required to encode ‘4’
For 4 – ary, r1 =√𝐸𝑆1 ; For 8 – ary,
levels = 2 bits/level
r2 = √𝐸𝑆2
Thus, data rate = 2 × 100 = 200 bits/sec 𝜋
For 4 – ary, M = 4, d1 = 2sin ( ) 𝑟1
4
10. Slop of signal = slope of delta modulator
𝜋
For 8 – ary, M = 8, d2 = 2sin ( ) 𝑟2 .
8
Am (2𝜋fm) = Δfs⇒Am (2𝜋× 2 × 103)
𝜋
If d1 = d2 = d then 2sin ( ) 𝑟1 = d
= 20,000 × 0.1 4
𝑑
1 r1 = = 0.707d.
Am = √2
2𝜋
𝜋 𝑑
2sin( ) r2=d r2= 𝜋 = 1.307d.
8 2 𝑠𝑖𝑛( )
8

84
www.gradeup.co

17. We know that Pe α √𝐸𝑠


𝐸𝑠 1 𝑟 0.707𝑑 0.707
√𝐸 1 = 𝑟 = 1.307𝑑 = 1.307
𝑠2 2

𝐸𝑠2 1.307 2
=( ) = 3.42
𝐸𝑠1 0.707

To achieve same error, 2nd signal must


have 3.42 times energy than 1st signal
In dB = 10 log (3.42) = 5.33 dB. Slope in region t∈ (3, 4) is –1
18. GMSK is a form of modulation used in a 23. Transfer function of matched filter
variety of digital ratio communication h(t) = s(T–t)
systems. it has the advantages of being
Output matched filter having input s(t)
able to carry digital modulation while still ∞
y(t) = h(t) * s(t) = ∫–∞ 𝑆(𝑗)ℎ(𝑡– 𝑗)𝑑𝑗
using the spectrum efficiently GMSK is
most widely used in the GSM cellular
technology.
1 𝑛
19. ⇒X(f) ⊗ ∑∞
𝑛–∞ 𝛿 (𝑓– )
𝑇𝑠 𝑇𝑠
𝑛 𝑛
1 𝛿(𝑓–𝑓𝑚 –𝑇 )+𝛿(𝑓+𝑓𝑚 –𝑇 )
⇒ × 5 × 10–6 × 10 ∑∞
𝑛=∞ (
𝑠 𝑠
)
𝑇𝑠 2

At _ ____ _____ n= 0
50×10–6 [𝛿(𝑓–𝑓𝑚 )+𝛿(𝑓+𝑓𝑚 )]

𝑇𝑠 2

Taking inverse Fourier transform


50×10–6 50×10–6
= 𝑐𝑜𝑠 𝜔𝑚 𝑡 = 𝑐𝑜𝑠 𝜔𝑚 𝑡
𝑇𝑠 100×10–6

= 5 × 10–1 cos ωmt


1 1
20. fs = = = 20 kHZ
𝑇𝑠 50×10–6

24𝜋×103
fc = = 12 kHZ
2𝜋

The frequencies passed through LPF are,


fc, fs –fc i.e., 12 kHZ, 8 kHZ
as LPF have cut-off frequencies is 15 kHZ
2𝐸𝑏
21. SNR for two sided PSD =
𝑁𝑜
𝐸𝑏
SNR for one sided PSD =
𝑁𝑜

10–5
∴10 log10 = 10 dB
10–6

22. The impulse response of the matched filter


is h
(t) = x(T–t). Here T = 4 h(t) = x (4–t)

85
www.gradeup.co

Hence, it can be inferred that right plot is


For, t = 1,
in figure (c).
P(t) = S(j) h(1–j)is shown in figure (d)
𝑠𝑖𝑛 4𝜋𝑤𝑡
∞ 1
Y(1) = ∫–∞ 𝑆(𝑗)ℎ(1– 𝐽)𝑑𝑗 = ∫0 – 1. 𝑑𝑗 =– 1 24. Given, p(t) =
4𝜋𝑤𝑡(1–16𝑤2 𝑡 2 )

For t = 2 𝑙𝑖𝑚1 𝑝(𝑡) = 𝑙𝑖𝑚1


𝑠𝑖𝑛 4𝜋𝑤𝑡

𝑡→ 𝑡→ 4𝜋𝑤𝑡(1–6𝑤2 𝑡 2 )
S(j) [h(2–j)] is shown in figure (e) 4𝑊 4𝑊

𝑑
(𝑠𝑖𝑛 4𝜋𝑤𝑡)
𝑑𝑡
= 𝑙𝑖𝑚 𝑑
𝑡→1/4𝑊 [4𝜋𝑤𝑡(1–16𝑤2 𝑡 2 )]
𝑑𝑡

4𝜋𝑤 𝑐𝑜𝑠 4𝜋𝑤𝑡


= 𝑙𝑖𝑚1
𝑡→ 4𝜋𝑤(1–16𝑤2 𝑡 2 )–32𝑤2 𝑡(4𝜋𝑤𝑡)
4𝑊

4𝜋𝑤 𝑐𝑜𝑠 4𝜋𝑤𝑡


= 𝑙𝑖𝑚
𝑡→1/4𝑊 4𝜋𝑤(−32𝑤2 𝑡 2 )

1
= = 0.5
2

25. Impulse response of the matched filter,

h(t) = s(T–t)

∞ 1 Given
Y(2) = ∫–∞ 𝑆(𝑗)ℎ(2– 𝑗). 𝑑𝑗 = ∫0 – 1𝑑𝑗 = 2
∞ 1 2
= ∫–∞ 𝑆(𝑇)ℎ(𝑡– 𝑗). 𝑑𝑗 = ∫0 1. 𝑑𝑗 + ∫1 – 1𝑑𝑗 = 2

For t = 0
S(J)h(–J)

26. The graphical representation of the

matched filter output y(t) for this signal is



Then, Y(0) = ∫–∞ 𝑆(𝐽)ℎ(– 𝐽). 𝑑𝐽 =0
given by

86
www.gradeup.co

So, α = – 0.50

29.

27. u0(t) = 5 cos 20000𝜋tandu1(t)

= 5 cos 22000𝜋t

Also f1 = 11000 Hzandf2 = 10000 Hz

Now for FSK waveforms to be

uncorrelated, Modulation done using amplitude

𝑅𝑏 modulation
f1 – f2=n ;
2

n = 1, 2, 3, .....
2(𝑓1 –𝑓2 ) 2000
Rb = = bits/sec
𝑛 𝑛

⇒ Rb(max) = 2000 bits/sec


Now by sampling
(∴ n = 1 is minimum value)
2𝑓𝐻 2𝑓𝐿
1 ≤ 𝑓𝑠 <
Now Tb(min) = = 0.5 ms 𝑛 𝑛–1
𝑅𝑏(𝑚𝑎𝑥)
Here fH = 615K, fL = 605 K.
28.
𝑓𝐻
1≤n≤ ; B = fH – fL
𝐵

∴n ≤ 61.5, n = 61.
2×615
∴fs ≥
61

Minimum sampling is 20 K.

Rb = 1.2fs × 8 = 0.192 Mbit/s

30. Frame per second = 625.


1
P(x0) = Pixels per second = 400 × 400
4

P(x1) =
3 64 intensity levels per pixel can be
4
represented by 6–bits per pixel
MAP criteria,
𝑥0 ∴ Data rate = 625 × 400 × 400 × 6
𝑓𝛾 (𝑦|𝑥0 )𝑃(𝑥0 ) > 𝑓𝛾 (𝑦|𝑥1 )𝑃(𝑥1 )
<
𝑥1 = 600 Mbps.

87
www.gradeup.co

31. g(t) = sin c (700 t) + sin c (500 t) 36. Duration of each bit, Tb =
1
𝑓𝑠 .8
1
= [sin(700𝜋t) + sin(500𝜋t)] 1
𝜋𝑡 then bit rate, R = = 8fs = 64 kbps
𝑇𝑏
g(t) is band-limited with fM = 350 Hz.
In dB, (SNR)q = 1.76 + 6.02 n
Hence the Nyquist rate will be 700 Hz
= 1.76 + (6.02) × (8)= 49.8 dB
1
then Nyquist interval is sec.
700 37. For M ary PSK bandwidth required is,
32. Probability of error = P 2𝑓𝑏
BW = where M = 2N and fb is the bit
𝑁
Probability of no error = (1 –P)
rate.
For error in at most one bit, there should
For BPSK, M = 2 = 2N
be either no error or error in only one bit.
⇒N=1
Hence the required probability
Then, BW (Bandwidth) = 2fb = 20 KHz.
= nC0 (1–P)n P0 +n C1 (1–P)n–1 P1
⇒ B1 = 20 KHz
= (1–P)n + np (1–p)n–1
For QPSK, M = 4 = 2N
33. Transmitted frequency,
⇒N=2
𝑛𝑐 +𝑖
fi = for some fixed integer nC and i=1,2 2𝑓𝑏
𝑇𝑏 then, Bandwidth, B2 = = 10 KHz
2
1
Given, Rb = = 8 kbps, 38. Signal is greater than 0.2 V
𝑇𝑏

then, f1 = (3 + 1) 8 = 32 kHz Hence probability of error


and, f2 = (4 + 1) 8 = 40 kHz That 0 is transmitted and 1 is received=
1 𝐸 0.25 –0.2 = 0.05
34. Probability of error, Pe = erfc(√ 𝑑 )
2 2𝜂

As Pe for FSK and PSK are same


then Ed is also same for both
𝐴𝑓
and then, 𝐴𝑓2 = 2𝐴2𝑃 ⇒ = √2
𝐴𝑃

Hence binary coherent FSK is interior by 20


log√3 = 3dB to binary coherent PSK
35. Using Fourier series expansion, 39. The three analog signals having band

Cn =
1 𝑇0 /6
𝐴. 𝑒 –𝑗𝑛𝑤0 𝑡 𝑑𝑡 =
𝐴 𝑛𝜋
𝑠𝑖𝑛 ( ) widths 1200 Hz, 600 Hz, 600 Hz have

𝑇0 –𝑇0 /6 𝜋𝑛 3
samples/sec of 2400, 1200 and 1200
all harmonics is present in Cn except
respectively. Hence the total of 4800
integer multiple of 3.
samples/sec.
Thus, - frequencies of p(t) for harmonics
Then bit rate = 4800 samples/sec × 12
1, 2, 4, 5, 7, 8, ....... are
= 57.6 kbps
103, 2 × 103, 4 × 103, 5 × 103 ......
40. The Bandwidth of x(t) is determined by the
∴p(t) × x(t) gives (1 ± 0.7) kHz,
highest frequency component of [sin c
(2 ± 0.7) kHz, (4 ± 0.7) kHz .......
(2000 t)]3 or [sin c (2000 t)]2, whichever is
Thus, frequency present in range of 2.5kHz
the largest.
to 3.5 kHz are, 2.7 kHz, 3.3kHz.

88
www.gradeup.co

Since multiplication in the time domain 1


= 2 [∫0 𝑎𝑥 2 𝑑𝑥 + ∫1 𝑏𝑥 2 𝑑𝑥 ]
5

compounds to convolution in the frequency 1 0


𝑥3 𝑥3 𝑎 124𝑏
= 2 [𝑎 ( ) + 𝑏 ( ) ] = 2 [ + ]
domain, signal [sinc (2000 t)]. Further 3 0 3 1 3 3

1 124
signal [sinc (2000 t)]3 has a bandwidth = 2[ + ]= 7
6×3 12×3
equal to twice to that of [sinc (2000 t)]. 𝛥2
Quantization noise power, NQ =∑2𝐿=1 1
1 𝑓 12
as sin c (2ωt) ⇌ 𝑟𝑒𝑐𝑡 ( )
2𝜔 2𝜔
P (Δi)
Signal [since(2000 t)] has a bandwidth
Δ1 = 1 V for –1 < x < 1.
1000 Hz and Nyquist rate = 2000 Hz
Δ2 =2 V for 1 < |x| < S.
Hence, x(t) has a sampling rate 1
P (Δ1) = P {–1 < x < 1} = 2a =
3 × 2000 Hz = 6 × 10 3 3
1 2
41. Almost one-bit error= p(1 bit error) P (Δ2) = 1– P (Δ1) = 1 – =
3 3

+ p (no bit error) 1


⇒ 𝑁𝑄 = ( ) + ( ) =
12 2 22 1
3 12 3 12 4
= nC1 × p × (1–p) 1 n–1
+ (nCo Po (1–p)n) 𝑆
Hence, SQNR = = 28.
= np (1–p)n–1 + (1–p)n 𝑁𝑄

42. Average energy of constellation 1 is 46. p : transmitted bit will be received in error
0+4𝑎2 +4𝑎2 +4𝑎2 if at receiver, the bits are
E1 = = 4𝑎2
4
000 𝑜𝑟 001
Average energy of constellation 2 is ↓ 010} →3 p2 (1–p)
𝑎2 +𝑎2 +𝑎2 +𝑎2 𝑝3 100
E2 = = 𝑎2
4
pe = p3 + 3 p2 (1–p)
2
𝐸1 4𝑎
⇒ = =4 Alternately
𝐸2 𝑎2

43. The probability of error decreases with The probability of ib being received in error
increases in average energy. As is,
constellation 1 has more average energy ( 𝑛 𝑖
𝑖 )𝑝 (1– 𝑝)
𝑛–𝑖

than that of constellation 2. So, the Hence the probability of error Pe is


probability of symbol error for constellation 3
Pc = ∑3𝑖=2 ( ) 𝑝𝑖 (1– 𝑝)3–𝑖
𝑖
1 is lower.
3 3
or Po = ( ) 𝑝2 (1– 𝑝) + ( ) 𝑝3 (1– 𝑝)0
44. To maximize the entropy, all the decision 2 3

boundaries should be equiprobable. or Pe = 3p (1–p) + P3 2

5 1 5 1 1 47. Binary sequence represented by bipolar


∫1 𝑝𝑥 (𝑥)𝑑𝑥 = 3 ⇒ ∫1 𝑏𝑑𝑥 = 3 ⇒ 𝑏[5– 1] = 3
1 pulsed
b=
12
so, B.W. = Rb = nfs = 8 × 8K = 64 kHz.
1 1 1 1
⇒ ∫–1 𝑃𝑥 (𝑥)𝑑𝑥 = ⇒ ∫–1 𝑎𝑑𝑥 = 𝑆𝑜
3 3
48. Signal to noise ratio, ( ) = 6ndB.
𝑁𝐷 𝑑𝐵
a [1–(–1)] =1/3
where, n = number of bits per sample
a =1/6
quantized
45. Signal power,
𝑆𝑜
S = E[𝑥 2 ] = ∫–∞ 𝑥 2 𝑓𝑥 (𝑥)𝑑𝑥
∞ ( ) = 6 × 8 = 48 dB.
𝑁𝑂 𝑑𝐵

89
www.gradeup.co

49. Quantization noise,


𝛥2 𝑉𝑃–𝑃
Nq = Δ = step size =
12 2𝑛
2
𝑁𝑞 𝛥′ 𝛥2
∴ N’q = ⇒ ,=
4 12 12×4
𝛥
Δ’ =
2
𝑉𝑝–𝑝 𝑉𝑝–𝑝
⇒ = ⇒ 2𝑛′ = 2 × 28 = 29 = 512. s2(t) and its fouriar transform is shown
2𝑛′ 2×2𝑛

Therefore number of quantization levels below:


required to reduce the quantization noise
by a factor would be 512.
50. Signal power,
∞ 5 1 25
∫–∞ 𝑥 2 𝑓𝑥(𝑥)𝑑𝑥 = ∫–5 10 𝑥 2 𝑑𝑥 = 3

SQNR = 43.5 dB = 10 4.35

𝛥2 𝑆
NQ = =
12 𝑆𝑄𝑁𝑅

12×5 12×25
⇒𝛥=√ =√ = 0.0668V.
𝑆𝑄𝑁𝑅 3×104.35

51. Given D1 = 0.05V (for + Ve Values),


D2 = 0.1V
(for – Ve values).
The amplitude of the input signal is From frequency convolution theorem, X1(t)
symmetrically distributed about zero. X2(t) 1X1(f) X2(f), we find that s(t) is band-
Hence P(D1) = (PD2) = 0.5 Quantization limited signal and its bandwidth is equal to
noise power, the sum of bandwidth of s1(t) and s2(t),
𝛥2
1 𝑃1 𝛥2
2 𝑃2 1 1
NQ = + = (𝛥22 + 𝛥22 ) = i.e. 600 Hz. Thus, Nyquist rate is 1200 Hz.
12 12 24 1920
1
Signal power, S = 25/3 53. Entropy, 4(x) = ∑4𝑥=1 𝑃𝑖 𝑙𝑜𝑔2 ( )
𝑃𝑖
𝑆 1920×25
SQNR = = = 16000 = 42dB.
𝑁𝑂 3 As quantized level are independent and
𝑠𝑖𝑛 500𝜋𝑡 𝑠𝑖𝑛 700𝜋𝑡
52. s(t)= × equiprobale
𝜋𝑡 𝜋𝑡
1
Assume, s1(t) =
𝑠𝑖𝑛 500𝜋𝑡
P1 = P2 = P3=P4 =
𝜋𝑡 4
𝑠𝑖𝑛 700𝜋𝑡 1
and s2(t) = then, H(X) = 4 [ 𝑙𝑜𝑔2 4]= 4 bits/sample
𝜋𝑡 4

s1(t) and its fourier transform is shown And, Information rate, R = Ω 4


below: = 2 sample/sec × 2 bits/sample = 4
1
54. BT= Rs (β + 1)
2

Rs →Symbol rate
2×𝛽𝑇
⇒Rs =
𝛽+1

⇒β = 0.75
2×3500
⇒Rs = = 4000 symbols/sec
1+0.75

90
www.gradeup.co

0
55. P[X = 0] =
10
1
P[X = 1] = 1 – P[X = 0] =
10

Probability of error for an optimum


receiver,
Ps = P[X = 1]
(1 – transmission probability)
59. Optimum threshold is given by the point of
1 1 7
= (1– ) = intersection of two pdf curves.
10 8 80

56. Given: φ = 45o f(z/0) = 1 – |z|; |z| ≤ 1


For imperfect synchronization, probability f(z/1) = z/4; 0 < z < 2.

of error in BPSK is The point of intersection which decides

2𝐸 2𝐸
optimum threshold is
Pe = Q (√ 𝐶𝑜𝑠 2 𝜙) = 𝑄 (√ . 𝐶𝑜𝑠 2 4 5𝑜 )
𝑁𝑜 𝑁 1 – z = 1/4
𝐸 z = 4/5
= Q√
𝑁𝑜
𝑠𝑖𝑛(𝜋𝑡/5) 𝜋
60. X(t)=√2 ( ) 𝑠𝑖𝑛 (𝜋𝑡– )
𝜋𝑡/5 4
57. Bit rate given = R Kbits/second
we can write above expression as
Modulation = 32–QAM
𝑠𝑖𝑛(𝜋𝑡/5) 𝜋 𝜋
X(t) = – √2 ( ) [𝑐𝑜𝑠 𝑠𝑖𝑛 𝜋 𝑡– 𝑠𝑖𝑛 𝑐𝑜𝑠 𝜋 𝑡]
No. of bits/symbol = 5[log2 32] 𝜋𝑡/5 4 4

𝑠𝑖𝑛(𝜋𝑡/5) 𝑠𝑖𝑛(𝜋𝑡/5)
Symbol rate = k symbols/second =( ) 𝑐𝑜𝑠 𝜋 𝑡– 𝑠𝑖𝑛( 𝜋𝑡)
𝜋𝑡/5 (𝜋𝑡/5)

Finally we are transmitting symbols. Also


ET→transmission bandwidth [Low pass representation of Bandpass
𝑅(𝑠𝑦𝑚𝑏𝑜𝑙𝑟𝑎𝑡𝑒) 𝑅
BT = = signal]
(1+𝛼) 5(1+𝛼)
𝑠𝑖𝑛(𝜋𝑡/5)
For BT to be minimum, α has to be Xc(t) =
𝜋𝑡/5

maximum Xs(t) =
𝑠𝑖𝑛(𝜋𝑡/5)
𝜋𝑡/5
𝑅 𝑅
⇒BT = = X∞(t) is the complex envelope of x(t)
5×2 10

Maximum value of α is ‘1’ which is a roll off X∞(t) = Xc(t) + jXs(t)

factor 𝑠𝑖𝑛(𝜋𝑡/5)
= [1 + j] =
√2 𝑠𝑖𝑛(𝜋𝑡/5)
𝑒 𝑗𝜋/4
𝜋𝑡/5 (𝜋𝑡/5)
58. BER is given as
61. For Binary FSK
Pe = P(0). P (1/0) + P (1). P (0/1) 𝐸
Bit error probability = Q (√ )
If detection threshold is 1, then 𝑁 𝑜

P (0) = P (1) = 1/2. E →Energy per bit [no. of symbols


𝑦=1 ∞ 𝑧 = No. of bits]
P( ) = ∫1 𝑓 ( ) 𝑑𝑧 = 0
𝑥=0 0
𝐴2 𝑇
𝑦=0 1 1 1 1
E=
2
P( ) = ∫0 𝑓(𝑧/1)𝑑𝑧 = × × 1 =
𝑥=1 4 2 8
A = 4 × 10–3,
1 1 1 1
∴Pe = ×0+ × = 1
2 2 8 16 T= [inverse of data rate]
500×103

91
www.gradeup.co

⇒E =
16×10–6 ×2×10–6
= 16 × 10–12 66. From given data
2
binary data transmission (Rt) = 56 kbps
N0 = 1 × 10–12
Roll-off factor (α) = 0.25
16×10–12
P0 = Q (√ –12 )= Q(4) ∴Transmission bandwidth
1×10
𝑅𝑏 56
62. Bandwidth requirement for m-level (BW) = = [1 + 𝛼] = [1 + 0.25]𝑘𝐻𝑧
2 2

PSK= (1 + 𝛼)
1 = 28 × 1.25 kHz= 35 kHz
𝑇
67. Here the range of Channel spectrum 500Hz
[Where T is symbol duration, α is roll of
– 200Hz (Here Rb = rate of transmission)
factor]
1 Hence Bandwidth (BW) = 1500HZ
⇒ (1 + 𝛼)= 100 × 103 𝑅𝑏
𝑇
BW = (1 +α )
𝑙𝑜𝑔2 𝑀
α = 1 [100% excess bandwidth]
𝑅𝑏 4800
1 1500 = (1 + α) = (1 + α)
⇒ (2)= 100 × 103 𝑙𝑜𝑔2 16 𝑙𝑜𝑔2 16
𝑇
2 = 1200 (1 + α)
⇒T = = 20 µsec
100×103
⇒α = 0.25
1
Bit duration = 8 = 0.5 × 10
–5
200×10 Hence, the roll-off factor of a-pulse is 0.25
= 5 × 10 –6
sec 68. From the given data
𝑆𝑦𝑚𝑏𝑜𝑙𝑑𝑢𝑟𝑎𝑡𝑖𝑜𝑛
Bit duration = fs = 8kHz (speech signal)
𝑙𝑜𝑔2 𝑚

20×10–6 𝑠𝑒𝑐 n = 8 bits/sample ; M = 4


⇒ 𝑙𝑜𝑔2 𝑚 =
5×10–6 𝑅𝑏 𝑅𝑏 𝑓𝑠 ×𝑛 𝑓𝑠 ×𝑛
BWmin = = = =
2 𝑙𝑜𝑔2 𝑀 2 𝑙𝑜𝑔2 4 2 𝑙𝑜𝑔2 22 4 𝑙𝑜𝑔2 2
⇒M = 16
𝑓𝑠 ×𝑛 8×8
𝑥=1 = = = 16𝑘𝐻𝑧
63. P ( ) 4 4
𝑦=0
𝑃(𝑦=0/𝑥=1).𝑃(𝑥=1) (1/7)×0.8
Hence the minimum band width is 16 kHz.
= =1
69. P = 10–5 N = 105
6
𝑃(𝑦=0/𝑥=1)𝑃(𝑥=1)+𝑃(𝑦=0/𝑥=0)𝑃(𝑥=0) ×0.8+ ×0.2
7 7

= 0.4 Given question can be solved by two


65. Optimum receiver for AWGN channel is methods.
given by matched filter. Method 1:
In case of matched filter receiver, Binomial: nCx pxqn–x
2𝐸 P[x = 0] + P[x = 1]
Probability of error = Q (√ )
𝑁 0
= 105
C0 (10–5)0 (1– 10–5) 105

⇒Probability of error is minimum for which + 105 𝐶1 (10–5 )1 (1– 10–5 )10
5 –1

E is maximum = (1) (1) × 0.367 + 0.367= 0.735


Now looking at options Method 1:
Energy in option (a) = 12 = 1 𝑒 –𝜆 𝜆𝑥
Poission =
𝑋!
Energy in option (c) and (d) is same = 1/3
λ = np = 10 –5
× 105 = 1
Energy in option (b)
𝑒 –1 (1)1
1 1
1 (since n = 105, p = 10–5)= + 𝑒 –1
𝑡2 2 1!
= 2 [∫0 (2𝑡)2 𝑑𝑡 ] = [∫0 4𝑡 2 𝑑𝑡] = 4 ( )|
2 2
3 0 ⇒2 × e–1 = 0.735
= 1/3 So, the probability that no more than one
Thus option A is correct answer. bit will be in error is 0.735.

92
www.gradeup.co

71. SNR(indB) = 1.8 + 6n


40 = 1.8 + 6n
n=7
72. Let s0 and s1 be the transmitted symbols
representing the transmitted value {–1, 1}
P1 = 1 – (shaded area)
respectively and let r0 and r1 be the
for s2 : The probability of error (P2 )
received symbols

P2 = 1 – (shaded area)
Now Probability of error, for s3 : the probability of error P3 .

(Pe) = P(s1) P(r0 |s1) + P(s0) P(r1 |s0)


1 1 1
P(r0|s1) = P(r1|s0)= ×1× =
2 4 8
1
P(s0) = P(s1) = (given)
2
1 1 1 1 1 1 1 1
∴Pe =( × + × ) = ( + )=
2 8 2 8 2 8 8 8

73. Error probability = α P3 = 1 – (shaded area)

Correct probability = 1– α for s4 : The probability of error (P4 )

‘N’ Bits So
Correct probability = (1 – α) (1 – α)
… ‘N’ times = (1– α)N
Erroneous probability
= 1 – correct probability = [1 – (1 – α)N].
P4 = 1 – (shaded area) By concluding
74. as ML detector is used, the decision
above graph
boundary between two adjacent signal P3 i.e. probability of error when s3 is
points will be their arithmetic mean. transmitted is larger among the four.
for s1 = –3, the probability of error (p1 ): I=3

❖❖❖❖

93
www.gradeup.co

94
4
www.gradeup.co

Information
Theory & Coding

Analysis of Previous GATE Papers

2017 Set 1

2017 Set 2

2016 Set 1

2016 Set 2

2016 Set 3

2015 Set 1

2015 Set 2

2015 Set 3
2020

2019

2018
Year →
Topic
Topic ↓

MCQ Type 1 1
1 Mark
Numerical Type 1 1 1
Fundamental of Error
Correction MCQ Type 1
and Hamming Code 2 Marks
Numerical Type 1 1
Total 1 1 1 3 2 3
MCQ Type
1 Mark
Numerical Type
Timing and Frequency
MCQ Type
Synchronization 2 Marks
Numerical Type
Total
MCQ Type
1 Mark
Numerical Type 1 1
Intersymbol Interference
MCQ Type
and Mitigation 2 Marks
Numerical Type 1 1
Total 1 2 3
MCQ Type
1 Mark
Numerical Type
Basic of TDMA, FDMA
MCQ Type
and CDMA 2 Marks
Numerical Type 1
Total 2

95
www.gradeup.co

Fundamentals of Error Correction & 5. The capacity of a Binary Symmetric


Hamming Code Channel (BSC) with cross-over probability

1. A memoryless source emits n symbols 0.5 is _______ .

each with a probability p. The entropy of [2014 : 1 Marks, Set-1]


the source as a function of n 6. The capacity of a band-limited additive
A. increases as log n white Gaussian noise (AWGN) channel is
B. decreases as log(1/n) 𝑃
given by 𝐶 = 𝑊 𝑙𝑜𝑔2 (1 + ) bits per
𝜎2𝑊
C. increases as n
D. increases as n log second (bps), where W is the channel

[2008 : 2 Marks] bandwidth, P is the average power


2. A communication channel with AWGN received and 𝜎 2 is the one-sided power
operating at a signal to noise ratio SNR ≫ spectral density of the AWGN. For a fixed
1 and bandwidth 6 has capacity C1. If the 𝑃
= 1000, the channel capacity (in kbps)
𝜎2
SNR is doubled keeping B constant, the
resulting capacity C2 is given by with infinite bandwidth (𝑊 → ∞) is

A. C2 ≈ 2C1 B. C2 ≈ C1 + B approximately
C. C2 ≈ C1 + 2B D. C2 ≈ C1 + 0.3B A. 1.44
[2009 : 2 Marks] B. 1.08
3. A source alphabet consists of N symbols
C. 0.72
with the probability of the first two
D. 0.36
symbols being the same. A source encoder
[2014 : 1 Marks, Set-2]
increases the probability of the first symbol
by a small amount 𝜀 and decreases that of 7. Consider a discrete memoryless source

the second by ε. After encoding, the with alphabet S = (s0, s1, s2, s3, s4, ……..)
entropy of the source and respective probabilities of occurrence
A. increases 1 1 1 1 1
𝑃=( , , , , , . . . . . ). The entropy of the
2 4 8 16 32
B. remains the same
source (in bits) is __________ .
C. increases only if N = 2
D. decreases [2016 : 2 Marks, Set-I]

[2012 : 1 Marks] 8. An analog baseband signal, band limited to


4. Let U and V be two independent and 100 Hz, is sampled at the Nyquistrate. The
identically distributed random variables samples are quantized into four message
such that
symbols that occur independently with
1
P(U = +1) = P(U = –1) = . The entropy
2 probabilities p1 = p4 = 0.125 and p2 = p3.
H(U + V) in bits is
The information rate (bits/sec) of the
3
A. B. 1
4 message source is _______ .
3
C. D. log23
2 [2016 : 1 Marks,Set-3]
[2013 : 2 Marks]

96
www.gradeup.co

9. A voice-grade AWGN (additive white

Gaussian noise) telephone channel has a

bandwidth of 4.0 kHz and two-sided noise


𝜂
power spectral density = 2.5 × 10−5 Watt
2

per Hz. If information at the rate of 52

kbps is to be transmitted over this channel


[2017 : 1 Marks, Set-2]
with arbitrarily small bit error rate, then
11. Consider a binary memoryless channel
the minimum bit-energy Eh (in mJ/bit) characterized by the transition probability
necessary is ________. diagram shown in the figure.

[2016 : 2 Marks, Set-3]

10. Which one of the following graphs shows

the Shannon capacity (Channel capacity) in

bits of a memoryless binary symmetric

channel with crossover probability p?

The channel is
A. lossless B. noiseless
C. useless D. deterministic
[2017 : 2 Marks, Set-2]
12. Consider a binary channel code in which
each codeword has a fixed length of 5 bits.
The Hamming distance between any pair of
distinct codewords in this code is at least
2. The maximum number of codewords
such a code can contain is ______ .
[2018 : 1 Marks]
13. A linear Hamming code is used to map 4-
bit messages to 7-bit codewords. The
encoder mapping is linear. If the message
0001 is mapped to the codeword 0000111,
and the message 0011 is mapped to the
codeword 1100110, then the message
0010 is mapped to
A. 0010011 B. 1111111
C. 1111000 D. 1100001
[2019 : 1 Marks]

97
www.gradeup.co

TIMING AND FREQUENCY to represent this source for error-free


SYNCHRONIZATION reconstruction is

14. A source generates three symbols with [2016 : 1 Marks, Set-2]

probabilities 0.25, 0.25, 0.50 at a rate of 17. A binary communication system makes use
of the symbols ‘zero’ and ‘one’. There are
3000 symbols per second. Assuming
channel errors. Consider the following
independent generation of symbols, the
events :
most efficient source encoder would have
x0: a ‘zero’ is transmitted.
average bit rate is
v1 : a ‘one’ is transmitted.
A. 6000 bits/sec B. 4500 bits/sec
y0 : a ‘zero’ is received.
C. 3000 bits/sec D. 1500 bits/sec
y1 : a ‘one’ is received.
[2006 : 2 Marks]
The following probabilities are given :
1 3 1
INTERSYMBOL INTERFERENCE AND 𝑃(𝑥0 ) = , 𝑃(𝑦0 |𝑥0 ) = , and 𝑃(𝑦0 |𝑥1 ) = .
2 4 2
MITIGATION The information in bits that you obtain
when you learn which symbol has been
15. A digital communication system uses a
received (while you know that a ‘zero’ has
repetition code for channel
been transmitted) is ____.
encoding/decoding. During transmission,
[2016 : 2 Marks, Set-2]
each bit is repeated three times (0 is
18. Let, (X1, X2) be independent random
transmitted as 000, and 1 is transmitted as
variables. X, has mean 0 and variance 1,
111). It is assumed that the source puts :
while X2 has mean 1 and variance 4. The
symbols independently and with equal
mutual information(X1 : X2) between X1
probability. The decoder operates as
and X2 in bits is __________ .
follows : In a block of three received bits, if
[2017 : 1 Marks, Set-1]
the number of zeros exceeds the number
of ones, the decoder decides in favor of a BASICS OF TDMA, FDMA & CDMA

0, and if the number of ones exceeds the 19. In a GSM system, 8 channels can co-exist
number of zeros, the decoder decides in in 200 kHz bandwidth using TDMA. A GSM
favor of a 1. Assuming a binary symmetric based cellular operator is allocated 5 MHz
channel with crossover probability p = 0.1. bandwidth. Assuming a frequency reuse
The average probability of error is ____. 1
factor of , i.e. a five-cell repeat pattern,
5
[2016 : 2 Marks, Set-I] the maximum number of simultaneous
16. A discrete memoryless source has an channels that can exist in one cell is
alphabet (a1, a2, a3, a4) with corresponding A. 200 B. 40
1 1 1 1
probabilities ( , , , ). The minimum C. 25 D. 5
2 4 8 8

required average codeword length in bits [2007 : 2 Marks]

98
www.gradeup.co

20. In a Direct Sequence CDMA system the 22. The transmitted signal in a GSM is of 200
chip rate is 1.2288 × 106 chips per second. kHz bandwidth and 8 users share a
If the processing gain is desired to be AT common bandwidth using TDMA. If at a
LEAST 100, the date rate given time 12 users are talking in a cell,
A. must be less than or equal to the total bandwidth of the signal received
12.288×103 bits per sec. by the base station of the cell will be at
B. must be greater than 12.288 × 10 bits 3
least (in kHz) _______ .
per sec. [2015 : 2 Marks, Set-I]
C. must be exactly equal to 12.288 × 103 23. In a code-division multiple access (CDMA)
bits per sec. system with N = 8 chips, the maximum
D. can take any value less than 122.88 × number of users who can be assigned
10 bits per sec.
3
mutually orthogonal signature sequences is
[2007 : 2 Marks] ________ .
21. Four messages band limited to W, W, 2W [2014 : 1 Marks, Set-I]
and 3W respectively are to be multiplexed
using time division multiplexing (TDM). 24. A binary random variable X takes the value
The minimum bandwidth required for +2 or The probability P(X = +2) = α. The
transmission of this TDM signal is value of α (rounded off to one decimal
A. W B. 3W place), for which the entropy of X is
C. 6W D. 7W maximum, is
[2008 : 2 Marks] [2020 : 1 Marks]

ANSWER

1. A 2. B 3. D 4. C 5. –0.1 6. A 7. 1.75 8. 13 9. 31.503 10. C

11. C 12. 16 13. D 14. B 15. 0.028 16. 1.75 17. 0.405 18. 0 19. D 20. A

21. D 22. 400 23. 7.99–8.01 24. 0.5

SOLUTION

1 𝑆
1. For n symbols, P = Channel capacity, C1 = B log2(1 + )
𝑛 𝑁
𝑆
∴ Entropy = ∑𝑛𝑘=1 𝑃𝑘 𝑙𝑜𝑔2
1 1
= 𝑙𝑜𝑔2 ( )= log2(n) ≈ 𝐵 𝑙𝑜𝑔2 ( )
𝑁
𝑃𝑘 𝑃
2𝑆 𝑆
𝐶2 = 𝐵 𝑙𝑜𝑔2 ( ) = 𝐵 𝑙𝑜𝑔22 + 𝐵 𝑙𝑜𝑔 = B + C1
𝑁 𝑁
2. According to Shannon-Hartely law,

99
www.gradeup.co

3. Entropy is maximum when all symbols are Subtracting (ii) from (i)
equiprobable. 𝐻 1
=( )+( ) +( ) +⋯
1 2 1 3
2 2 2 2
If the probability of symbols are different 1
𝐻 ( )
2
then entropy is going to decrease. = 1 =1
2 1−( )
2
1 1 1
4. 𝑃(𝑈 + 𝑉 = 2) = × = . ⇒ H = 2 bit/symbol
2 2 4

1 1 1 8. Hence the minimum required average


𝑃(𝑈 + 𝑉 = 0) = + = .
4 4 2
codeword length is 1.75 bits/word.
1 1 1
𝑃(𝑈 + 𝑉 = −2) = × = .
2 2 4
1 1 1 1
∴ 𝐻(𝑈 + 𝑉) = 𝑙𝑜𝑔22 + 2 × × 𝑙𝑜𝑔24 = + ×2
2 4 2 2
3
= .
2

𝑈 𝑉 (𝑈 + 𝑉)
+1 +1 +2
+1 −1 0
−1 +1 0
−1 −1 −2
5. Channel capacity of BSC is
C = P log2 P + (1 – P)log2 (1 – P) + 1= 0.5
log2 0.5 + 0.5 log2 0.5 + 1
=0 [∵ log2 0.5 = 1] 9. From given data,
It is the case of channel with independent Channel transmission rate (C) = 52 kbps
input and output, hence C = 0 Channel band width B = 4 kHz
𝑃 𝜂
𝜔 𝑙𝑛[1+ 2 ]
6. 𝐶 = 𝑙𝑖𝑚 𝜔 𝑙𝑜𝑔2 [1 +
𝑃
] = 𝑙𝑖𝑚 𝜎 𝜔 = 2.5 × 10−5
2
𝑤→∞ 𝜎2𝜔 𝑤→∞ 𝑙𝑛 2
𝑃 N = 4 × 103 × 2.5 × 10–5 × 2
1 𝑙𝑛[1+ 2 ] 𝑃
𝜎 𝜔
= 𝑙𝑖𝑚 ⋅ 𝑆
C = 𝐵 𝑙𝑜𝑔2 [1 + ]
𝑃
𝑙𝑛 2 𝑤→∞ 𝜎2

𝜎 2𝜔
𝑁
𝑇ℎ𝑖𝑠 𝑙𝑖𝑚 𝑖𝑡𝑖𝑠𝑒𝑞𝑢𝑖𝑣𝑎 𝑙𝑛 𝑒𝑡𝑡𝑜

𝑙𝑛[1+𝑥] 𝑃 𝑃 S = 1638.2
𝑙𝑖𝑚 =1= = 𝑙𝑜𝑔2 𝑒 = 1.44 KGpa
𝑤→∞ 𝑥 𝜎 2 ⋅𝑙𝑛 2 𝜎2 𝑆 𝐽/𝑠𝑒𝑐
𝐸𝑏 = =
𝑅𝑏 𝑏𝑖𝑡𝑠/𝑠𝑒𝑐=31.503
7. Entropy of source is given as
𝐶
1 = 𝑙𝑜𝑔2 ( 1 + 𝑆/𝑁)
𝐻= ∑𝑁
𝑖=0 𝑃𝑖 𝑙𝑜𝑔 2𝑃
𝐵
𝑖 𝐶
⇒ 𝑙𝑜𝑔2 ( 1 + 𝑆/𝑁) =
(Here, N = ∞) 𝐵

⇒ (1 + 𝑆/𝑁) = 2𝐶/𝐵 = 252/4 = 213 = 8192


1 1 1
= log2 2 + log2 4 + log2 8
2 4 8 ⇒S/N = 8191

1 ∴ S = 8191 × N
+ log2 16 + 
16 ⇒S = 8191 × 4 × 103 × 2.5 × 10–5 × 2
1 1 2 1 3 1 4 = 819.1 × 2
𝐻 = +2×( ) +3×( ) +4×( ) +⋯
2 2 2 2
819.1×2
𝐸𝑏 = = 31.503
1 𝑘 𝑅𝑏
= ∑∞
𝑘=0 𝑘 ( ) …(1)
2
So the minimum bit energy (Eb) is
𝐻 1 2 1 3 1 4
=( ) +2×( ) +3×( ) +⋯ …(2) 31.503 mJ/bit.
2 2 2 2

100
www.gradeup.co

10. The channel capacity of a memoryless 13. Message (1) ⇒ 0001


binary symmetric channel can be Message (2) ⇒ 0011
expressed as 0000111 ⇒ Codeword (1)
𝑝
𝐶 =1+ 𝑝 𝑙𝑜𝑔2 + (1 − 𝑝) 𝑙𝑜𝑔2 ( 1 − 𝑝) 1100110 ⇒ Codeword (2)
Since it is a linear hamming code.
Message (1) + Message (2) results in
codeword (1) + codeword (2)
⇒ Addition of binary is logical XOR

0.25 0.75
11. Given :[𝑃(𝑦/𝑥)] = [ ]
0.25 0.75
If mutual information I(x; y) = 0 for every
possible input distribution, then the
channel is called as useless or zero 14. Entropy, 𝐻(𝑥) = − ∑𝑚
𝑖=1 𝑃(𝑥𝑖 ) 𝑙𝑜𝑔2 𝑃 (𝑥𝑖 )
capacity channel. For three independent sources,
Then, H(x) = –𝛼log2 𝛼– (1– 𝛼)log2(1 – 𝛼) 𝐻(𝑥) = − ∑3𝑖=1 𝑃(𝑥𝑖 ) 𝑙𝑜𝑔2 𝑃 (𝑥𝑖 ) = [P(x1)log2
bits/symbol P(x1) + P(x2)log2 P(x2) + P(x3) ⋅ log2 P(x3)]
𝑦
[𝑃(𝑦)] = [𝑃(𝑦)] [𝑃 ( )] = [0.250.75] = –[0.25 log2 0.25 + 0.25 log2 0.25 + 0.50
𝑥
𝛼/4 3𝛼/4 log2 0.50]=
3
bits/symbol
[𝑃(𝑥, 𝑦)] = [ ] 2
(1 − 𝛼)/4 3(1 − 𝛼)/4
𝑥 𝑥 Symbol rate, r = 3000 symbols/sec
𝐻 ( ) = − ∑𝑖 ∑𝑗 𝑃(𝑥𝑖 , 𝑦𝑖 ) 𝑙𝑜𝑔2 𝑃 ( 𝑖 ) bits/symbol 3
𝑦 𝑦𝑖
Average bit rate R = rH(X)= × 3000 = 4500
2
= −𝛼 𝑙𝑜𝑔2 𝛼 − (1 − 𝛼) 𝑙𝑜𝑔2 ( 1 − 𝛼)
𝑥 bits/sec
𝐼(𝑥; 𝑦) = 𝐻(𝑥) − 𝐻 ( ) = 0.
𝑦 15. Probability of error
So, the given binary memoryless channel Pe = P3 + 3P2 (1 – P)
is a ‘useless’ channel.
P = 0.1
12. For, n = 5 and dmin = 2 (given)
Pe = (0.1)3 + 3 × (0.1)2 (1 – 0.1)= 0.001
For dmin = 2, the codewords can be formed
+ 3 × 0.01 × 0.9
as follows :
= 0.001 + 0.027= 0.028
16. The minimum required average codeword
length in bits for error free reconstruction
Lmin = H(Entropy)
1 1 1 1
𝐻 = 𝑙𝑜𝑔2 + 2 + 𝑙𝑜𝑔2 4 + 𝑙𝑜𝑔2 8 + 𝑙𝑜𝑔2 8
2 4 8 8
1 1 1 1
= 𝑙𝑜𝑔2 2 + 𝑙𝑜𝑔2 2 + 𝑙𝑜𝑔2 2 + 𝑙𝑜𝑔2 23
2 3
2 4 8 8
1 1 1
= 𝑙𝑜𝑔2 2 + × 2 𝑙𝑜𝑔2 2 + × 3 𝑙𝑜𝑔2 2 +
2 4 8
1 1 1 3 3
× 3 𝑙𝑜𝑔2 2 = + + + = 1.75
8 2 2 8 8

⇒ Lmin = 1.75 bits/word


Hence the minimum required average
codeword length is 1.75 bits/word.

101
www.gradeup.co

17. 20. In Direct Sequence CDMA system,


𝑓𝑐ℎ𝑖𝑝𝑟𝑎𝑡𝑒
Process Gain = GP =
𝑓𝑑𝑎𝑡𝑎𝑟𝑎𝑡𝑒

Given, GP min = 100


Gp ≥ 100
𝑓𝑐ℎ𝑖𝑝𝑟𝑎𝑡𝑒
∴ 𝐺𝑝 = ≥ 100
𝑓𝑑𝑎𝑡𝑎𝑟𝑎𝑡𝑒
𝑓𝑐ℎ𝑖𝑝𝑟𝑎𝑡𝑒
⇒ ≥ 𝑓𝑑𝑎𝑡𝑎𝑟𝑎𝑡𝑒
1 1 100
𝑃(𝑥0 ) = ; 𝑃(𝑥1 ) =
2 2 1.2288×106
⇒ ≥ 𝑓𝑑𝑎𝑡𝑎𝑟𝑎𝑡𝑒
𝑦0 3 𝑦0 1 100
𝑃( ) = ;𝑃( ) =
𝑥0 4 𝑥1 2
So, fdata rate must be less than
P[(x, y)] = [P(x)]d [P(y|x)] = 12.288 × 103 bit/sec
1/2 0 3/4 1/4
=[ ][ ] 22. It is given that GSM requires 200 kHz for 8
0 1/2 1/2 1/2
3/8 1/8 users and uses TDMA scheme to
[𝑃(𝑥, 𝑦)] = [ ]
1/4 1/4 accommodate them. Thus for the next
𝑃(𝑦|𝑥0 ) = − ∑1𝑘=0 𝑃(𝑥0 , 𝑦0 ) 𝑙𝑜𝑔2 𝑃( )
𝑦𝑘
users we will required and extra of 200
𝑥0
kHz bandwidth. Thus, 400 kHz bandwidth
= {P(x0, y0)log2 P(y0|x0)}
is to be sued.
+ P(x0, y1)log2 P(y1|x0)
𝑐ℎ𝑖𝑝𝑟𝑎𝑡𝑒
3 3 1 1 23. Spreading factor (SF) =
= − { 𝑙𝑜𝑔2 + 𝑙𝑜𝑔2 }= 0.405 𝑠𝑦𝑚𝑏𝑜𝑙𝑟𝑎𝑡𝑒
8 4 8 4
This if a single symbol is represented by a
18. Mutual information of two random
code of 8 chips
variables is a measure of the mutual
Chip rate = 8 × symbol rate
dependence of the two variables.
8×symbol rate
Given that, X and Y are independent. S.F. (Spreading Factor) = =8
symbol rate

Hence, I(X : Y) = 0 Spread factor (or) process gain and


19. Total available band width = 5 MHz determine to a certain the upper limit of
1
⇒ Frequency reuse factor , so fie cell the total number of uses supported
5

repeat pattern so available band width for simultaneously by a station.

each cell 24. There are only two symbols


(𝐵𝑊)𝑡𝑜𝑡𝑎𝑙 5 X = –2
(𝐵. 𝑊. )𝑐𝑒𝑙𝑙 = = MHz=1 MHz
5 5
X=2
⇒ (B.W)channel = 200 kHz
Maximum entropy occurs for equal
Total number of channel in each cell,
probability
(𝐵𝑊)𝑐𝑒𝑙𝑙 1𝑀
(𝑁)𝑐𝑒𝑙𝑙 = = =5
(𝐵.𝑊)𝑐ℎ𝑎𝑛𝑛𝑒𝑙 200𝑘

There is 8 channel coexist in same channel


band width using TDMA.
So, total number of simultaneous channel
that conexist = 5 × 8 = 40

❖❖❖❖

102
www.gradeup.co

103
www.gradeup.co

1
www.gradeup.co

SYLLABUS

M axwell's equations: differential and integral forms and their interpretation,


boundary conditions, wave equation, Poynting vector.
properties: reflection and refraction, polarization, phase and group velocity, propagation
Plane waves and

through various media, skin depth. Transmission lines: equations, characteristic


impedance, impedance matching, impedance transformation, S parameters, Smith chart.
Rectangular and circular waveguides, light propagation in optical fibres, dipole and
monopole antennas, linear antenna arrays.

CONTENT
S.No. Chapter Page No.

1. Introduction to Vectors ............................................................................................................ 4-8

➢ Vectors (Curl, Divergence, Gradient) ...................................................................................................... 5

➢ Integration (Line, Surface, Volume) ......................................................................................................... 5

Answers........................................................................................................................................................ 6

Explanations ............................................................................................................................................... 6-8

2. Basics of Electromagnetics ...................................................................................................... 9-23

➢ Electrostatics .................................................................................................................................................... 10

➢ Magnetostatics ................................................................................................................................................. 12

➢ Maxwell's Equation (Differential & Integral form) & their Interpretation............................... 15

Answers........................................................................................................................................................ 17

Explanations ............................................................................................................................................... 17-23

2
www.gradeup.co

S.No. Chapter Page No.

3. Uniform Plane Waves ................................................................................................................ 25-43

➢ Boundary Conditions ..................................................................................................................................... 26


➢ Wave Equation ................................................................................................................................................. 27
➢ Properties (Reflection, Refraction, Polarization) ............................................................................... 28
➢ Phase and Group Velocity ............................................................................................................................ 32
➢ Propagation Through Various Media & Skin Depth .......................................................................... 33
Answers........................................................................................................................................................ 34
Explanations ............................................................................................................................................... 35-43

4. Transmission Lines .................................................................................................................... 45-63

➢ Equations ........................................................................................................................................................... 46
➢ Characteristic Impedance & Impedance Matching ............................................................................ 48
➢ Transformation & S-Parameters ............................................................................................................... 52
➢ Smith Charts ...................................................................................................................................................... 53
Answers........................................................................................................................................................ 54
Explanations ............................................................................................................................................... 56-63

5. Wave Guides ................................................................................................................................. 67-78

➢ Modes................................................................................................................................................................... 68
➢ Boundary Conditions ..................................................................................................................................... 70
➢ Cut-off frequencies ......................................................................................................................................... 71
➢ Dispersion Relations ...................................................................................................................................... 71
Answers........................................................................................................................................................ 72
Explanations ............................................................................................................................................... 72-78

6. Basics of Antenna & Radars..................................................................................................... 80-91

➢ Types of Antenna & Radiation Pattern ................................................................................................... 81


➢ Gain & Directivity ............................................................................................................................................ 82
➢ Return Loss & Antenna Arrays .................................................................................................................. 84
Answers........................................................................................................................................................ 85
Explanations ............................................................................................................................................... 85-91

3
1
www.gradeup.co

Introduction to Vectors

Analysis of Previous GATE Papers

2017 Set 1

2017 Set 2

2016 Set 1

2016 Set 2

2016 Set 3

2015 Set 1

2015 Set 2

2015 Set 3
2020

2019

2018
Year →
Topic
Topic ↓

MCQ Type 1
1 Mark
Numerical Type
Vectors
MCQ Type 1 1
(Curl, Divergence, Gradient) 2 Marks
Numerical Type
Total 1 2 2
MCQ Type
1 Mark
Numerical Type
Integration
MCQ Type 1
(Line Surface, Volume) 2 Marks
Numerical Type 1
Total 2 2

4
www.gradeup.co

VECTORS (CURL, DIVERGENCE, GRADIENT) INTEGRATION (LINE, SURFACE, VOLUME)

1. The direction of vector A is radially 5. If a vector field V is related to another


outward from the origin, with, |A| = krn vector field A through V = A, which of
where r 2
= x 2
+ y 2
+ z 2
and k is a
the following is true?
constant. The value of n for which   A = 0
Note: C and SC refer to any closed contour
is
and any surface whose boundary is C.
A. –2 B. 2
C. 1 D. 0
A.  V  dI =   A  dS
C SC
[2012: 2 Marks]
2. A vector P is given by
B.  A  dI =   V  dS
C SC
P = x3yax − x2y2ay − x2yzaz. Which of the
C.    V  dI =     A  dS
following statements is TRUE? C SC

A. P is solenoidal, but not irrotational D.    A  dI =   V  dS


B. P is irrotational, but not solensoidal C SC

C. P is neither solenoidal nor irrotational [2009: 2 Marks]


D. P is both solenoidal and irrotational 6. Consider a closed surface S surrounding a
[2015: 2 Marks, Set-1] volume V. If r is the position vector of a
3. If the vector function point inside S, with n̂ the unit normal on

S, the value of the integral  5r  ndS


ˆ is
ax (3y − k1z ) + ˆ
F =ˆ ay (k2x − 2z ) − ˆ
az (k3y + z ) S
is irrotational, then the values of the A. 3 V B. 5 V
constants k1, k2 and k3, respectively are
C. 10 V D. 15 V
A. 0.3, –2.5, 0.5 B. 0.0, 3.0, 2.0
[2011: 1 Marks]
C. 0.3, 0.33, 0.5 D. 4.0, 3.0, 2.0
7. A vector field D = 2p2ˆ ˆa exists inside a
ap + za
[2017: 2 Marks, Set-2]
cylindrical region enclosed by the surfaces
4. For a vector field , Which one of the
ρ = 1, z = 0 and z = 5. Let S be the
following is false?
surface bounding this cylindrical region.
A. in another vector field
The surface integral of this field on S
B.
 D  dS is ______
S
C. is irrotational if
[2015: 2 Marks, Set-3]

D. is sinusoidal if 8. Consider the charge profile shown in the


figure. The resultant potential distribution
[2020: 1 Mark]
is best described by

5
www.gradeup.co

[2016: 2 Marks, Set-3]

ANSWER

1. A 2. A 3. B 4. C 5. B 6. D 7. 78.53 8. D

SOLUTION

1. Given: A = k  rn  A = rn  ˆ
ar (radially 2. Trace of A = 14
a + 5 + 2 + b = 14
outward)
(Taking the diagonal element and then
A =
1  2
r 2 r (
r Ar +)r
1
sin

( A sin  )
 r e
adding)
a+b=7 … (i)
1 
+ ( )
A
r sin   
det (A) = 100
a 3 7
A =
1  2
r2 r
( )
r  krn + 0 + 0 5 0 2 4 = 100
0 0 b
1  ( n+2 ) k
= r = (n + 2)  rn+1 5 × 2 × a × b = 100
r2 r r2
10ab = 100
   A will be zero if n+2 = 0
ab = 10 … (ii)
 n = −2

6
www.gradeup.co

From equation (i) and (ii)


eithera = 5, b = 2ora = 2, b = 5 7. D = 2ρ2 aρ + zaz
a−b = 5−2 = 3
z D  ds =  v (   D) dv
3. If F = (3y − k1z ) ˆ
ax + (k2x − 2z ) ˆ
ay 1  1 D Dz
 D=
 
(
D +
 
+
z
)
− (k 3 y + z ) ˆ
az

irrotational, then   F = 0
=
1 
 
( 1
)
22 + 0 + 1 = 2 (3) 2 + 1 = 6 + 1

 v (   D) dv
ˆ ˆ ˆ 1 2 5
ax ay az = =0 =0 z =0 (6 + 1)  dddz
  
F = 1
x y z  63 2   1
=  +  2 (5) =  2 +  10
(3y − k1z ) (k2x − 2z ) (k3y + z )  3
 2 0  2 

az ( −k3 + 2) − ˆ
= ˆ ay (k1 ) + ˆ
az (k2 − 3) = 0
 v (   D) dv = 78.53
 k3 + 2 = 0; −k1 = 0;k2 − ˆ
ay − 3 = 0
8. From poisson’s equation,   V = − ( x ) /  b
k3 = 2, k1 = 0, k2 = 3.
For one dimensional charge density,
Option C is correct.
d2y  (x)
5. Given: V =   A 2
=−
dx 
Taking surface integral in both the sides,
For x < 0: ρ(x) = –ρ2
  V  ds =   (  A )  ds d2y 2
S S 2
=
dx 
Using stoke’s theorem,
On solving, we get,
( )
  A  ds =  Ad 2 2
S C V (x) = x + C1x + C2x  0

6. Using divergence theorem,
Where C1 and C2 are arbitrary constants.
   (  A ) dv =  A  nds
ˆ Thus V(x) is an upward parabola.
S
d2v −2
ˆx + ya
Position vector, r = xa ˆy + za
ˆz c For x > 0: ρ(x) =ρ2; 2
=
dx 
 A = 5r On solving,
     1 2
  A = ˆax +ˆ
ay +ˆ
az  V+(x) = − x + C3x + C4x  0.
 x y z  

( ˆx + ya
5 xa ˆy + za
ˆz ) Where c3 and c4 are arbitrary constant.
For x = 0; V–(x) = V+(x) = 0.
= (1 + 1 + 1)5 = 3 × 5 = 15
V(x) will be constant for x < b and x > a.
  5r  nds
ˆ =    15dv = 15v There is no discontinuity.
S v

❖❖❖❖

7
www.gradeup.co

8
2
www.gradeup.co

Basics of
Electromagnetics

Analysis of Previous GATE Papers

2017 Set 1

2017 Set 2

2016 Set 1

2016 Set 2

2016 Set 3

2015 Set 1

2015 Set 2

2015 Set 3
2020

2019

2018
Year →
Topic
Topic ↓

MCQ Type 1 1
1 Mark
Numerical Type 1 1
Electrostatics MCQ Type 1 2
2 Marks
Numerical Type 1
Total 2 1 3 1 4 1
MCQ Type 1
1 Mark
Numerical Type
Magnetostatics MCQ Type 1 1
2 Marks
Numerical Type
Total 2 2 1
MCQ Type 1 1
1 Mark
Numerical Type
Maxwell’s Equation
(Differential and Inetgral Form) MCQ Type
and Their Interpretation 2 Marks
Numerical Type 1
Total 2 1 1

9
www.gradeup.co

ELECTROSTATICS

1. The electric field on the surface of a perfect


conductor is 2 V/m. The conductor is
immersed in water with = 80 o . The

surface charge density on the conductor is


A. 0 C/m2
B. 2 C/m2
C. 1.8 × 10–11 C/m2
D. 1.41 × 10–9 C/m2
[2014: 2 Marks, Set-4]
[2002: 2 Marks]
5. If
2. If the electric field intensity is given by

( )
E = xux + yuy + zuz Volt / m the potential (
E = − 2y3 − 3y2 ˆ ) (
x − 6xy2 − 3xz2 ˆ )
y + (6xyz ) ˆ
z
is the electric field in a source free region,
difference between X(2, 0, 0) and Y(1, 2,
a valid expression for the electrostatic
3) is
potential is
A. +1 Volt B. –1 Volt
A. xy3 – yz2 B. 2xy3 – xyz2
C. +5 Volt D. +6 Volt
C. y3 + xyz2 D. 2xy3 – 3xyz2
[2003: 2 Marks]
[2014: 2 Marks, Set-4]
3. The force on a point charge +q kept at a
6. In a source free region in vacuum, if the
distance from the surface of an infinite
electrostatic potential φ = 2x2 + y2 + cz2,
grounded metal plate in a medium of
the value of constant c must be______.
permittivity  is
[2015: 1 Marks, Set-2]
A. 0
7. Concentric spherical shells of radii 2 m, 4
2
q m and 8 m carry uniform surface charge
B. away from the plate
16  d2
densities of 20  C/m2, –4  C/m2 and ρs,
2
q respectively. The value of ρs (  C/m2)
C. towards the plate
2
16  d
required to ensure that the electric flux
q2
D. towards the plate density D = 0 at radius 10 m is ______.
4  d2
[2016: 1 Marks, Set-1]
[2014: 1 Marks, Set-1]
8. The parallel plate capacitor shown in the
4. The electric field (assumed to be one-
figure has movable plates. The capacitor is
dimensional) between two points A and B
charged so that the energy stored in it is E
is shown. Let ΨA and ΨB be the
when the plate separation is d. The
electrostatic potentials at A and B,
capacitor is then isolated electrically and
respectively. The value of ΨA– ΨB in Volts
the plates are moved such that the plate
is_______.
separation becomes 2d.

10
www.gradeup.co

electron (q2) far away. The closest distance


that this moving electron gets to the
stationary electron before the repulsive
force diverts its path is ____ × 10–8 m.
[Given, mass of electron m = 9.11 × 10 –31
kg, charge of electron e = –1.6 × 10–19 C,
 1  −9
At this new plate separation, what is the and permittivity, 0 =    10 F / m].
 36  
energy stored in the capacitor, neglecting
[2017: 2 Marks, Set-2]
fringing effects?
11. A positive charge q is placed at x = 0
A. 2E B. 2E
between two infinite metal plates placed at
E x = –d and x = +d respectively. The metal
C. E D.
2
plates lie in the yz plane.
[2016: 2 Marks, Set-2]
9. Two conducting spheres S1 and S2 of radii
a and b (b > a) respectively, are placed far
apart and connected by a long, thin
conducting wire, as

The charge is at rest at t = 0, when a


For some charge placed on this structure,
voltage +V is applied to the plate at –d
the potential and surface electric field on
and voltage –V is applied to the plate at x
S1 and Va and Ea, and that on S2 are Vb
= +d. Assume that the quantity of the
and Eb respectively. Then which of the
charge q is small enough that it does not
following is CORRECT?
perturb the field set up by the metal
A. Va = Vb and Ea< Eb
plates. The time that the charge q takes to
B. Va> Vb and Ea> Eb
reach the right plate is proportional to
C. Va = Vb and Ea> Eb
d d
D. Va> Vb and Ea + Eb A. B.
V V
[2017: 1 Mark, Set-2]
d d
10. An electron (q1) is moving in free space C. D.
V V
with velocity 105 m/s towards a stationary
[2017: 2 Marks, Set-2]

11
www.gradeup.co

12. What is the electric flux (  E  daˆ) through a


quarter cylinder of height H (as shown in
the figure) due to an infinitely long the line
charge along the axis of the cylinder with a
charge density of Q?

[2020: 2 Marks]

MAGNETOSTATICS

14. The unit of   H is


A. Ampere B. Ampere/meter
C. Ampere/meter2 D. Ampere-meter
[2003: 1 Marks]
15. Two infinitely long wires carrying current
4H HQ
A. B. are as shown in the figure below. One wire
Q0 40
is in the y-z plane and parallel to the y-
HQ H0
C. D. axis. The other wire is in the x-y plane and
0 4Q
parallel to the v-axis. Which components of
[2019: 1 Marks]
the resulting magnetic field are non-zero at
13. For an infinitesimally small dipole in free
the origin?
space, the electric field Eθ in the far field
proportional to (e-jkr/r) sinθ,
where k = 2 /  . A vertical infinitesimally

small electric
dipole (l  ) is placed at a distance h(h

> 0) above an infinite ideal conducting


plane, as
shown in the figure. The minimum value of
h, for which one of the maxima in the far
field
radiation pattern occurs at θ = 60°, is?
A. 0.75 λ B. λ
C. 0.25 λ D. 0.5 λ

12
www.gradeup.co

A. x, y, z components from the center of the wire as shown


B. x, y components below.
C. y, z components
D. x, z components
[2009: 1 Marks]
16. A magnetic field in air is measured to be

 x x 
B = B0  ˆ
y− ˆ
x
 x2 + y2 2
x +y 2 
 
What current distribution leads to this
field? [Hints: The algebra is trivial in
cylindrical coordinates].
The magnetic field inside the hole is
B ˆz 1 
A. J = 0   ,r  0 A. uniform and depends only on d.

0  x + y 
2 2
B. uniform and depends only on b.
B ˆz 2  C. uniform and depends on both band d.
B. J = 0   ,r  0
0  x2 + y2 
D. non-uniform.
C. J = 0,r  0 [2012: 2 Marks]

z
B0ˆ  19. A region shown below contains a perfect
2
D. J =  2  ,r  0
0  x + y 
2 conducting half-space and air. The surface

[2009: 2 Marks] current Ks on the surface of the perfect

Statements for Linked Answer Question conductor is Ks = ˆ


x2 ampere per meter.
17 and 18:
The tangential H field in the air just above
An infinitely long uniform solid wire of radius a
the perfect conductor is
carries a uniform dc current of density J .
17. The magnetic field at a distance r from the
center of the wire is proportional to
1
A. r for r < a and for r > a
r2
1
B. 0 for r < a and for r > a
r
1
C. r for r < a and for r > a
r2 A. ( ˆ z ) ampere per meter
x +ˆ
1
D. 0 for r < a and for r > a B. x̂2 ampere per meter
r
[2012: 2 Marks] C. −ẑ2 ampere per meter

18. A hole of radius b(b < a) is now drilled D. ẑ2 ampere per meter

along the length of the wire at a distance d [2014: 2 Marks, Set-3]

13
www.gradeup.co

20. Consider a straight, infinitely long, current 21. The current density in a medium is given
carrying conductor laying on the z-axis. by
Which one of the following plots (in linear 400 sin 
J= ar A − m−2
ˆ
scale) quanlitatively represents the (
2 r 2 + 4 )
dependes of Hφ on r, where Hφ is the
The total current and the average current
magnitude of a azimuthal component of
and the average current density flowing
magnetic field outside the conductor and
rise the radial distance from the through the portion of a spherical surface

conductor?  
r=0.8m,  , 0    2 are
12 4
given respectively, by
A. 15.09 A, 12.86 Am–2
B. 18.73 A, 13.65 Am–2
C. 12.86 A, 9.23 Am–2
D. 10.28 A, 7.56 Am–2
[2016: 2 Marks, Set-1]
22. A uniform an constant magnetic field B =
ẑB exists in the ẑ direction in vacuum. A
particle of mass m with a small charge q is
introduced into this region with an initial
velocity ˆ
v=ˆ
xvx + ˆ
zvz. Given that B, m, q,

vx and vz are all non zero, which one of the


following described the eventual trajectory
of the particle?
A. Helical motion in the ẑ direction.
B. Circular motion in the xy plane.
C. Linear motion in the ẑ direction.
D. Linear motion in the x̂ direction.
[2016: 1 Marks, Set-2]
23. Two identical copper wires W1 and W2,
placed in parallel as shown in the figure,
carry currents I and 2I, respectively, in
opposite directions. If the two wires are
separated by a distance of 4r, then the

magnitude of the magnetic field B


between the wires at a distance r form W1
is
[2015: 1 Mark]

14
www.gradeup.co

26. For static electric and magnetic fields in an


inhomogeneous source-free medium,
which of the following represents the
correct form of two of Maxwell’s equations?
A.   E = 0,   B = 0 B.   E = 0,   B = 0
5oI o I
A. B. C.   E = 0,   B = 0 D.   E = 0,   B = 0
6r 6r
[2008: 1 Marks]
6oI 2oI2 27. Faraday’s law of electromagnetic induction
C. D.
5r 2r2
is mathematically described by which one
[2019: 2 Marks] of the following equations?
A.   B = 0 B.   D = v
MAXWELL’S EQUATIONS (DIFFERENTIAL
AND INTEGRAL FORM) AND THEIR B B
C.   E = D.   H = E +
t t
INTERPRETATION
[2016: 1 Marks, Set-3]
24. A parallel plate air filled capacitor has plate 28. In the table shown, List-I and List-II,
area of 10 –4
m 2
and plate separation of respectively, contain terms appearing on
10 –3
m. It is connected to a 0.5 V, 3.6 GHz the left-hand side and the right-hand side.
source. The magnitude of the displacement of Maxwell’s equations (in their standard
 1  form). Match the left-hand side with the
current is 0 =  10−9 F / m
 36  corresponding right-hand side.
A. 10 mA B. 100 mA List-I List-II
C. 10 A D. 1.59 mA 1.   D P. 0
[2004: 2 Marks] 2.   E Q. ρ
25. If C is a closed curve enclosing a surface S, B
3.   B R. −
then the magnetic field intensity H , the t

current density J and the electric flux D


4.   H D. J +
t
density D are related by
A. 1-Q, 2-R, 3-P, 4-S
 D 
A.   H  ds =   J +   dt B. 1-Q, 2-S, 3-P, 4-R
 t
S C   C. 1-P, 2-R, 3-Q, 4-S
 D  D. 1-R, 2-Q, 3-S, 4-P
B.  H  dI =   J +   ds
t 
S S  [2019: 1 Marks]
 D  29. The magnetic field of a uniform plane wave
C.  H  ds =   J + t   d in vacuum is given by
S C 
 D 
D.  H  dI =    J +   ds
t  The value of b is ……
C S

[2007: 1 Marks] [2020: 2 Marks]

15
www.gradeup.co

16
www.gradeup.co

ANSWER

1. D 2. C 3. B 4. –15 5. D 6. –3 7. –0.25 8. A 9. C 10. 5.063

11. C 12. B 13. B 14. C 15. D 16. C 17. C 18. A 19. A 20. B

21. B 22. A 23. A 24. A 25. D 26. B 27. C 28. A 29. 1

SOLUTION

1. Given: E = 2 V/m,  = 80 0 Q1Q2 q2 q2


F= = =
Total electric field of a perfect conductor is 4  R 2 4  (2d)
2
16  d2

given by only normal component. 4. A (0 kV/cm, 20 kV/cm)


s B (5 × 10–4 kV/cm, 40 kV/cm)
En =
0  r
40 − 20
s
E – 20 = ( x − 0)
2= 5  10−4
80  8.85  10−12
E = 4 × 1204x + 20
ρs = 1.41 × 10 –9
C/m ,
2
B
VAB = −  Edl
2. ˆx + ya
Given: E = xa ˆy + za
ˆz A

Potential difference, = −
0
510−4 /cm
( 4  10 4
x + 20 dx)
V = − E  d
510−4
 
(
= −  xa
ˆx + ya
ˆy + za
ˆz  ˆ )(
ax dx + ˆ
ay dy + ˆ
azdz ) = −  4  104

x2
+ 20x 

 2 0
2 0 0 
V = −   xdx +  ydy +  zdz  = –(2 × 104 × 25 × 10–3 + 20 × 5 × 10–4)
1 2 3 
= –(50 × 10–4 + 100 × 10–4)
2 0 0
 x2   y2   z2  = –150 × 10–4 kV = –15 V
= −  −   −  
 2 1  2 2  2 3 5. Given:
1 E=–(2y2–3yz2)ax–(6xy2 – 3xz2) ay+6xyzaz
=− 3 − 4 − 9 = 0
2 By verification option (d) satisfy
3.
E = −V
6. Correct answer is -3.
7. (–3)ϕ = 2x2 + y2 + cz2
E = − = −4xax − 2yay − 2czax

  E = 0  −4 − 2 − 2c = 0
 −2c = 6  (c = −3)

17
www.gradeup.co

Given qa
9. Potential on sphere S1, Va =
ρs1 = 20 nc/m2 4  ra

ρs1 = –4 nc/m2 qb
Potential on sphere S2, Vb =
ρs3 = ??(unknown) 4  rb
Where two sphere are connected through
conducting wire, the charge flows from
higher potential to lower potential until
both spheres attains same potential, i.e.
V a = Vb .
qa qb
 = … (i)
ra rb
Kq
Electric field outside sphere A, Ea =
ra2
Kq
Electric field outside sphere B, Eb =
rb2

Electric flux density at r = 10 m in given by 1 1


Given: rb> ra   … (ii)
ra rb
 net leaving the sphere of radianr 
  Using equation (ii) in equation (i), we get
= 10 m
D= ˆa
 Area of sphere of radiusr  r Kqa Kqb
    Ea  Eb
 = 10 m  ra2 rb2

But D = 0 10. Given me = 9.11 × 10–31 kg,qe = 1.6 × 10–


19
C, ve = 105 m/s.
Ψnet|at r = 10= 0
From energy conservation principle,
20 × 10–9 × 4π(2)2 + (–4 × 10–9)
(KE)initial + (PE)initial = (KE)final + (PE)final
× 4π(4)2 + ρs34π(8)2 = 0
1 1 q q
me v2e + 0 = 0 +  e e
∴ ρs3 = ρs = –0.25 nC/m2 2 4 0 r
8. Let E = E1,
2qe2
r=
Q12 4 0 me v2e
 Energy, E1 =
2C1
( )
2
2  9  109  −1.6  10−19
(Here, Q = Charge across capacitor) =
( )
2
For electrically isolated, 9.1  10−31  105

C1 = 5.063 × 10–8 m
 Q2 = Q1, d2 = 2d1  C2 =
2 11. For free velocity, KE = Work done
(Here, d1 = d2 = Plate separation) 1 d
mv2 = qv v =
  2 t
Q22 Q12 Q12
E2 = = = 2  = 2E1 = 2E
2C2 2C1  2C1  2qv d
  v=  = 2q V/ m
2 m t
Hence, the energy stored in the capacitor d
t 
is 2E. v

18
www.gradeup.co

12. The total electric flux leaving the cylinder   h


A  FN = 60 = cos  
of height ‘H’ is   
 h
=
 E  dA = QH If = n , where n = 0, 1, 2…
4 4 0 
|A.FN| will be maximum
 Option (b) is correct.
  eh
13. Option B is correct.  = n

As plane is conducting i.e. from image
For hmin, = n = 1
theory the image of small electric dipole hmin
=1
will be form at the same distance under 
the plane. hmin = 

14. From Maxwell’s equation,   H = JC + JD

Where JC and JD are conduction and

displacement current densities. Hence,


Unit of   H is A/m2.
15. By using right hand thumb rule, it is found
that field due to wire in y-z plane is in

as we know that negative x-direction and field due to wire


in x-y plane is in negative z-direction.
sin(N / 2) sin2( / 2)
| A.F |= = Therefore, x and z components of the
sin( / 2) sin( / 2)
resulting magnetic field are non-zero at the
∵ sin2a = 2sin (a) cos (a)
origin.
2 sin( / 2)  cos( / 2)
 ∣ A.F ∣ =
sin( / 2)
∣ A.F ∣ = 2 cos( / 2)

(A  F) 2 cos  / 2
 A  FN = =
( A  Fmax ) 2

A  FN = cos( / 2)

2
 = d cos , &  =

2
=  (2h) cos 

 x y 
 = 60 (given) 16. Given: B = B0  2 ˆ
ay + ˆ
ax 
a + x2 2
x +y 2 
 
2 1
 =  2h  Using cylindrical co-ordinates,
 2
x = r cos , ˆ
ax = cos   ˆ
ar − sin   ˆ
a
 2  h 
 A  FN = 60 = cos  
  2  y = r sin , ˆ
ay = som  ˆ
ar + cos   ˆ
a

19
www.gradeup.co

 r cos  Case2: r> a.


B (r, , z ) = B0  2
 r
(
sin ˆ
ar + cos ˆ
a )
 H . 2  r = J  r2
r sin  J 2 1

r 2 ( cos ˆar − sin ˆa ) H=
2r
a H
r
18. Assuming the cross-section of the wire on
B0
= ( cos  sin  − sin  cos  ) ˆ
ar
r  x-y plane as shown in figure.

(
+â cos2  + sin2  
 )
B0
B=  a
r
B B0
H= = a
 r 

B0
   H = J; where, Hr=Hz=0 and Hφ =
r

ˆ
ar ˆ
ra ˆ
az
Since, the hole is drilled along the length of
1   
= J wire. So, it can be assumed tha the drilled
r r  z
B0 portion carries current density of –J.
0 0
r Now, for the wire without hole, magnetic

1   B0    B0   field intensity at point P is Hφ1.


 J= − r  ˆar + r  ˆ
a 
r  z  r  r  r  z  J(  R) = J(  R2)
= 0 for r  0 JR
Hφ1 = H1 = .
17. According to Ampere’s law, 2
Since, point O is at origin,
 H  d l =  J  ds = Iendosed
J
Case1: r< a. H1 =
2
( ˆx + ya
xa ˆy )
 H . (2  r) = J  r 2
Magnetic field intensity only due to the
J
H= r hole,
2
Jr
Hφ2 = (2  r) = –J  r2 Hφ2 = − .
2
If we take 0′ at origin then,
J
H2 = −
2
(
x 'ˆ
ax + y ' ˆ
ay )
where x’ and y’ denotes point ‘p; is new
co-ordinate system.
x = x’ + d, y = y’.
J
H2 = − ( x − d) ˆ ˆy 
ax + ya
Hr 2

20
www.gradeup.co

Total magnetic field intensity 21. Correct option is not given current density

J in a medium is given by
= H1 + H2 = ˆx .
 da
2 400 sin 
J= ar A/ m−2
ˆ
So, the magnetic field intensity inside the (
2 r 2 + 4 )
hole depends only on d. Now current passing through the portion of

19. Given medium (1) is perfect conductor sphere of radius (r) = 0.8 m is given by

medium (2) is air I=  J  ds (r = Constant)


s
 H1 = 0
ds = r2 sin ddˆ
ar d ( r = 0.8m)
From boundary conditions,  /4 2
400 sin 
I=   r2 sin  d d
(H1 − H2 )  ˆan = Ks e − /2 = 0 2 (r 2
+4 )
H1 = 0 400 ( 0.8 )
2
 
ˆx −H2  ˆ
Ks = 2a ay        
an = a =  −  − sin   − sin     2
2 ( 0.8 + 4 )  4 12  
2 2  6  

( )
ˆx − Hx ax + Hy ay + Hzaz  ay
= 2a
 I = 7.45A
= 2ax –Hzaz + Hzax = 2ax The average current density through the
given sphere surface is given by
 Hz = 2 , H = 2az
1
20. J=
Area of r = 0.8 m sphere

7.45 7.45
= =
 /4 2 1.04
(0.8)2   sin d d
= /2 = 0

 J = 7.15 A/ m2

Hence, the total current and average


current density are 7.45 and 7.15 A/m2.
22. Bax magne2tic field (magnetic field exists
in the ẑ direction in vacuum).

We know that magnetic field around a vxax + vzaz velocity

current carrying conductor F = Q(v × B) by Lorent’s law


= Q (vxax + vzaz) × Baz
I
Hφ = H = j
2r Fy = Qvx × B(–ay)
This results in a circular path in the XY
I 1
H =  H 
2r r plane with vzaz component causing a linear
path. Both result in a helical path in Z-axis.

21
www.gradeup.co

23. Id V
At z = 0, = 0  
A d

0 V
Id = A
d

1 0.5  3.6  109  2  10−4


=  10−9 
36 10−3

= 1.59 mA

25. From Ampere’s law (or Maxwell’s second


Between the wires ω1 and ω2 the B − fields
equation)
due to I and 2I will gets added up.
 The B– field due to ω1 at a distance ‘r’ D
 H = J +
t
from the ω1 is
 The B– field due to ω1 at distance ‘r’ From Stoke’s theorem,     H  ds =  H dl
s C
from the ω1 is
 D 
0I
B1 = → (1)   H dl =    J +   ds
t 
2r C s

The B- field due to ω2 distance ‘3r’ from 26. For static electric and magnetic field,
the ω2 is given by Maxwell’s equation are
0 (2I)   H = JC ,   E = 0,   D = v , .B = 0
B2 = → (2 )
2 (3r )
For an inhomogeneous medium,  , μ and
 Now total magnetic field (B) = B1 + B2
σ are function of position or space. Now,
 I 1 2 
= 0  +
2  r 3r    B  0,   E  0,   E  0, .H  0.

50I Hence, for static electric and magnetic field


B= Wb/ m2 .
6r in an inhomogeneous source free medium,
24. Given: A = 10 –4
m , d = 10
2 –3
m,
E = 0
v = 0.5 V, f = 3.6 × 109 Hz
 D = 0
Displacement current density
Id  B = 0
E
= =  ,
A t  H = 0
−V j( t +2)
E = E0e (
j t + 2 ) 27. Faraday’s law of electromagnetic induction
= e ;
d
is mathematically described by the
−V
E0 = equation is given by
d
E V t + z) B H
= + j e( E = −    E = −
t d t t

22
www.gradeup.co

28.   D = 
i.e., are mutually
−B
E = perpendicular to each other.
t

 B = 0 ( is the vector along the direction of

D wave propagation)
 H = J +
t
Comparing the given expression of with
 1 Q, 2 – R, 3 – P, 4 – S
Option (A) is correct. the standard expression.

29. Given,

And,
For a uniform wave,

Then,

⇒b=1

❖❖❖❖

23
www.gradeup.co

24
3
www.gradeup.co

Uniform Plane Waves

Analysis of Previous GATE Papers

2017 Set 1

2017 Set 2

2016 Set 1

2016 Set 2

2016 Set 3

2015 Set 1

2015 Set 2

2015 Set 3
2020

2019

2018
Year →
Topic
Topic ↓

MCQ Type
1 Mark
Numerical Type 1
Boundary Conditions MCQ Type
2 Marks
Numerical Type
Total 1
MCQ Type
1 Mark
Numerical Type
Wave Equation MCQ Type
2 Marks
Numerical Type
Total
MCQ Type 1 1
1 Mark
Numerical Type
Properties (Reflection,
MCQ Type 1 1 1
Refraction, Polarization) 2 Marks
Numerical Type 1
Total 2 2 2 1 3
MCQ Type 1
1 Mark
Numerical Type
Phase and Group Velocity
MCQ Type 1
2 Marks
Numerical Type
Total 2 1
MCQ Type
1 Mark
Numerical Type
Propagation Through
MCQ Type 1
Various Media and Skin Depth 2 Marks
Numerical Type 1
Total 2 2

25
www.gradeup.co

BOUNDARY CONDITION source of electromagnetic energy is located


in the medium at a depth of 1 meter from
1. A uniform plane wave in air impinges at
the interface. Due to the total internal
45° angle on a lossless dielectric material
reflection, the transmitted beam has a
with dielectric constant r . The transmitted
circular cross-section over the interface.
wave propagates in a 30° direction with
The area of the beam cross-section at the
respect to the normal. The value of r is interface is given by
A. 1.5 B. 1.5 A. 2  m2 B.  2m2

C. 2 D. 2  2
C. m D.  m2
2
[2000: 2 Marks]
[2006: 2 Marks]
2. Two coaxial cables 1 and 2 are filled with
5. A medium is divided into regions I and II
different dielectric constants r and r
1 2
about x = 0 plane, as shown in the figure
respectively. The ratio of the wavelengths
below. An electromagnetic wave with
 
in the two cables,  1  is ˆx + 3a
electric field E1 = 4a ˆy + 5a
ˆz is incident
 2 
normally on the interface from region-1.
r r
1 2
A. B. The electric field E2 in region-II at the
r r
2 1
interface is
r r
1 2
C. D.
r r
2 1

[2000: 2 Marks]
3. Medium 1 has the electrical permittivity ε1
= 1.5 ε0 Farad/m and occupies the region
to the left of x = 0 plane. Medium 2 has
the electrical permittivity ε2 = 2.5 ε0
Farad/m and occupies the region to the
A. E2 = E1
right of x = 0 plane. If E1 in medium 1 is E1
ˆx + 0.75a
B. 4a ˆy − 1.25a
ˆz
= (2ux – 3uy + 1uz) Voltm, then E2 in
medium 2 is ˆx + 3a
C. 3a ˆy + 5a
ˆz
A. (2.0ux – 7.5uy + 2.5uz)Volt/m
ˆx + 3a
D. −3a ˆy + 5a
ˆz
B. (2.0ux -–2.0uy + 0.6uj)Volt/m
[2006: 2 Marks]
C. (1.2ux – 3.0uy + 1.0uz)Volt/m
D. (1.2ux – 2.0uy + 0.6uz)Volt/m 6. ˆy A/ m lies on the
A current sheet J = 10u

[2003: 2 Marks] dielectric interface x=0 between two


4. A medium of relative permittivity r = 2 dielectric media with s r = 1, r = 1 in
2 1 1

forms an interface with free-space. A point

26
www.gradeup.co

Region-1 (x < 0) and r = 2, r = 2 in WAVE EQUATION


2 2

Region-2 (x > 0). If the magnetic field in 8. If the electric field intensity associated with

ˆx + 30u
Region-1 at x = 0– is H1 = 3u ˆy A/ m, a uniform plane electromagnetic wave
travelling in a perfect dielectric medium is
the magnetic field in Region-2 at x = 0+ is
x (
given by E ( z, t ) = 10 cos 2  107 t − 0.1z )
Volt/m, the velocity of the travelling wave
is
A. 3.00 × 108 m/sec
B. 2.00 × 108 m/sec
ˆx + 30u
A. H2 = 1.5u ˆy − 10u
ˆz A/ m
C. 6.28 × 108 m/sec
ˆx + 30u
B. H2 = 3u ˆy − 10u
ˆz A/ m D. 2.00 × 108 m/sec

ˆx + 40u
C. H2 = 1.5u ˆy A/ m [2003: 2 Marks]
9. A plane wave of wavelength λ is travelling
ˆx + 30u
D. H2 = 3u ˆy + 10u
ˆz A/ m
in a direction making an angle 30° with
[2011: 2 Marks]
positive x-axis and 90° with positive y-
7. Consider a uniform plane wave with
axis. The E field of the plane wave can be
amplitude (E0) of 10 V/m and 1.1 GHz
represented as (E0, is a constant)
frequency travelling an air, and incident
 3  
j t − x− z
normally on a dielectric medium with 
   
A. E = ˆ
yE0 e
complex relative permittivity ( r ) and
   
j t − x + z 
permeability (μr) as shown in the figure.    
B. E = ˆ
yE0e

 3  
j t − x+ z
   
C. E = ˆ
yE0 e 

  3 
j t − x + z
   
D. E = ˆ
yE0 e

[2007: 1 Marks]
Statement for Linked Answer Questions
10 and 11:
A monochromatic plane wave of wavelength
The magnitude of the transmitted electric
λ = 600 μm is propagating in the direction as
field component (in V/m) after it has
travelled a distance of 10 cm inside the shown in the figure below. E1,Er and Et , denote

dielectric region is_____. incident, reflected, and transmitted electric


[2015: 2 Marks, Set-I] field vectors associated with the wave.

27
www.gradeup.co

104 ( x + z )
E0 −j
D. (ˆax + ˆaz ) e 3 V/ m
2
[2013: 2 Marks]
12. The electric field component of a plane
wave travelling in a lossless dielectric
medium is given by
 z 
E ( z, t ) = ˆ
ay 2 cos 108 t −  V/ m .
 2

Wavelength (in m) for the wave is _____.


[2015: 1 Marks, SET-1]

PROPERTIES (REFLECTION, REFRACTION,


POLARIZATION)

10. The angle of incidence 0 (and the 13. A plane wave is characterized by

expression for E1 are ( )


ˆ + yej /2 ejt − jkz. This wave is
E = 0.5x

104 ( x + z ) A. linearly polarized


E0 −j
A. 60° and (ˆax − ˆaz ) e 3 2 V/ m B. circularly polarized
2
C. elliptically polarized
104 z
E0 −j D. unpolarized
B. 45° and (ˆax − ˆaz ) e 3 V/ m
2 [2002 : 2 Marks]
104 ( x + z ) 14. A uniform plane wave travelling in air is
E0 −j
C. 45° and (ˆax − ˆaz ) e 3 2 V/ m incident on the plane boundary between air
2
and another dielectric medium with εr = 4.
104 z
E0 −j The reflection coefficient for the normal
D. 60° and (ˆax − ˆaz ) e 3 V/ m
2 incidence, is

[2013: 2 Marks] A. Zero B. 0.5180


C. 0.3330 D. 0.333180
11. The expression for E1 is
[2003: 2 Marks]
104 ( x − z )
E0 −j 15. The electric field of an electromagnetic
A. 0.23 (ˆax + ˆaz ) e 3 2 V/ m
wave propagating in the positive z-
2
direction is given by
104 z
E0 −j
B. − (ˆax + ˆaz ) e 3 V/ m
ax sin ( t − z ) + ˆ
E=ˆ
 
ay sin  t − z +  .
2
 2
104 ( x + z )
E0 −j The wave is
C. 0.44 (ˆax + ˆaz ) e 3 V/ m
A. linearly polarized in the z-direction
2

28
www.gradeup.co

B. elliptically polarized A. 0 B. 0.3


C. left-hand circularly polarized C. 0.5 D. 0.8
D. right-hand circularly polarized [2008: 2 Marks]
[2006: 1 Marks] 19. A plane wave having the electric field
16. When a plane wave traveling in free-space component
is incident normally on a medium having r
(
Ei = 24 cos 3  108 t − y ˆ
az V / m )
= 4.0, the fraction of power transmitted
and traveling in free space is incident
into the medium is given by
normally on a lossless medium with μ = μ0
1
A. 8 B.
9 2 and  = 9 0 which occupies the region y

C. 1 D. 5 ≥ 0. The reflected magnetic field


3 6
component is given by
[2006: 2 Marks]
17. A Right Circularly Polarized (RCP) plane
A. 1
10
( ax A/m
cos 3  108 t + y ˆ )
wave is incident at an angle of 60° to the
normal, on an air-dielectric interface. If the
B. 1
20
( ax A/m
cos 3  108 t + y ˆ )
reflected wave is linearly polarized, the
20
(
C. − 1 cos 3  108 t + y ˆ
ax A/m )
relative dielectric constant r is
2
(
D. − 1 cos 3  108 t + y ˆ
10
ax A/m )
[2010: 2 Marks]
20. The electric field of a uniform plane
electromagnetic wave in free space, along
the positive x-direction, is given by

E = 10 ˆ ( )
ˆz e− j25x .The frequency and
ay + ja

polarization of the wave, respectively, are


A. 1.2 GHz and left circular
B. 4 Hz and left circular
C. 1.2 GHz and right circular
A. 2 B. 3 D. 4 GHz and right circular
C. 2 D. 3 [2012: 1 Marks]
[2007: 2 Marks] 21. A plane wave propagating in air with
18. A uniform plane wave in the free space is
(
ˆx + 6a
E = 8a ˆz e (
ˆy − 5a )
− j t +3x − 4y )
V/m
normally incident on an infinitely thick
is incident on a perfectly conducting slab
dielectric slab (dielectric constant εr = 9).
positioned at x < 0. The field of the
The magnitude of the reflection coefficient
reflected waves is
is

29
www.gradeup.co

( ˆx − 6a
A. −8a ˆy − 5a
ˆz e ) j( t + 3r + 4y )
V/m
25. The electric field of plane wave
propagating in a lossless non-magnetic

( ˆx − 6a
B. −8a ˆy − 5a
ˆz e ) j( t + 3x + 4y )
V/m medium is given by the following

expression E ( z, t ) = ˆ (
ax  2  109 t + z  . )
(ˆx − 6a
C. 8a ˆy − 5a
ˆz e ) j( t −3r − 4y )
V/m
 
A. right handed circular
(
ˆx + 6a
D. 8a ˆy − 5a
ˆz e ) j( t −3x − 4y )
V/m B. left handed elliptical
C. right handed elliptical
[2012: 1 Mark]
D. linea
22. If the electric field of a plane wave is
[2015: 2 Marks, Set-2]
E ( z, t ) = ˆ
x3 cos ( t − kz + 30 )
26. A positive charge q is placed at x = 0
−ŷ3 cos ( t − kz + 45 ) (mV / m)
between two infinite metal plates placed at
The polarization state of the plane wave is
x = —d and at x = +d respectively. The
A. Left elliptical B. left circular
metal plates lie in they z plane.
C. right elliptical D. right circular
[2014: 2 Marks, Set-]
23. Assume that a plane wave in air with an
electric field

(
E = 10cps t − 3x − 3z ˆ
ay Vim )
is incident on a non-magnetic dielectric
slab of relative permittivity 3 which covers
the region z > 0. The angle of transmission
in the dielectric slab is_____degrees.
The charge is at rest at t = 0, when a
[2014: 2 Marks, Set-3]
voltage +V is applied to the plate at —d
24. The electric field of a uniform plane and voltage —V is applied to the plate at x
electromagnetic wave is = +d. Assume that the quantity of the

E= ˆ (
ax + j2a  ) (
ˆy exp  j 2  107 t − 0.2z  .
 ) charge q is small enough that it does not
perturb the field set up by the metal
The polarization of the wave is
plates. The time that the charge q takes to
A. right handed circular
reach the right plate is proportional to
B. right handed elliptical
d d
C. left handed circular A. B.
v v
D. left handed elliptical
d d
[2015: 1 Mark, Set-2] C. D.
V V

[2016: 2 Marks, Set-2]

30
www.gradeup.co

31
www.gradeup.co

27. If a right-handed circularly polarized wave The relative permittivity (correct to two
is incident normally on a plane perfect decimal places) of the dielectric medium
conductor, then the reflected wave will be is______
A. right-handed circularly polarized [2018: 2 Marks]
B. left-handed circularly polarized
C. elliptically polarized with a tilt angle of PHASE AND GROUP VELOCITY

45°
30. The magnetic field intensity vector of a
D. horizontally polarized
plane wave is given by
[2016: 1 Mark, Set-3]
H ( x, t ) = 10 sin (50000t + 0.004x + 30) ˆ
ay ,
28. The expression for an electric field in free
space is where ây denotes the unit vector in y-
− j( t −kx + ky )
E = Eo ( ˆ ˆ) e
x + y + j2z , direction. The wave is propagating with a
where, x, y, z represent the spatial phase velocity
coordinates, t represents time, and k are A. 5 × 104 m/s B. 3 × 108 m/s
constants. This electric field C. 1.25 × 107 m/s D. 3 × 106 m/s
A. does not represent a plane wave. [2005:1 Mark]
B. represents a circularly polarized plane 31. Refractive index of glass is 1.5. Find the
wave propagating normal to the z-axis. wavelength of a beam of light with
C. represents an elliptically polarized plane frequency of 1014 Hz in glass. Assume
wave propagating along the y-plane. velocity of light is 3 × 108 m/s in vacuum
D. represents a linearly polarized plane
A. 3 pm B. 3 min
wave.
C. 2 mm D. 1 mm
[2017: 2 Marks, Set-1]
[2005:1 Mark]
29. A uniform plane wave traveling in free
32. Let the electric field vector of a plane
space and having the electric field
electromagnetic wave propagating in a
E= ( 2a )
az 6 3  108 t  − 2 x + 2z V
ˆx − ˆ
  ( ) homogenous medium be expressed as

xEx e (
− j t −z )
is incident on a dielectric medium (relative E=ˆ , where the propagation
permittivity > 1, relative permeability = 1) constant β is a function of the angular
as shown in the figure and there is no frequency ω. Assume that β(ω) and Ex are
reflected wave.
known and are real. From the information
available, which one of the following
CANNOT be determined?
A. The type of polarization of the wave.
B. The group velocity of the wave.
C. The phase velocity of the wave.
D. The power flux through the z = 0 plane.
[2016: 1 Mark, Set-2]

32
www.gradeup.co

33. The dispersion equation of a waveguide, A. 1.3 × 10–5 B. 1.3 × 10–3


which relates the wave number k to the 1.7  10−4 1.7  10−4
C. D.
frequency ω, is 78 78 0

1 [2002: 2 Marks]


k ( ) =   2 − 20
c 37. The depth of penetration of
Where the speed of light c = 3 × 10 m/s. 8
electromagnetic wave in a medium having
and ω0 is a constant. If the group velocity conductivity a at a frequency of 1 MHz is
is 2 × 10 m/s, then the phase velocity is
8
25 cm. The depth of penetration at a
A. 2 × 10 m/s8
B. 1.5 x 10 m/s
8
frequency of 4 MHz will be
C. 3 x 10 m/s
8
D. 4.5 x 10 m/s
8
A. 6.25 cm B. 12.50 cm
[2019: 2 Marks] C. 50.00 cm D. 100.00 cm
[2003:1 Mark]
PROPAGATION THROUGH VARIOUS MEDIA
AND SKIN DEPTH
38. If E = ˆ(
ax + ja )
ˆy e jkz − jt and

34. If a plane electromagnetic wave satisfies


H = (  ) ˆ(
ay + ja )
ˆx e jkz − jt , the time

2Ex 2
I  Ex
averaged Pointing vector is
the equation = , the wave
z2 c2 t2  k 
A. null vector B.   âz
propagates in the   

A. x-direction  2k   k 
C.   âz D.   âz
B. z-direction     2 

C. y-direction [2004: 2 Marks]


D. y-plane at an angle of 45° between the 39. The H field (in Alm) of a plane wave
x and z directions propagating in free space is given by
[2001: 1 Mark] 5 3 5  
H=ˆ
x cos ( t − z ) + ˆ
y sin  t − z + 
35. A material has conductivity of 10–2 mho/m 0 0  2
and a relative permittivity of 4. The The time average power flow density in
frequency at which the conduction current watt is
in the medium is equal to the displacement 0 100
A. B.
current is 100 0
A. 45 MHz B. 90 MHz
50
C. 50η02 D.
C. 450 MHz D. 900 MHz 0
[2001: 2 Marks]
[2007: 2 Marks]
36. Distilled water at 25°C is characterized by
40. The electric field component of a time
σ = 1.7 × 10–4 mho/m and  = 78 0 at a
harmonic plane EM wave traveling in a
frequency of 3 GHz. Its loss tangent tan δ nonmagnetic lossless dielectric medium
is has an amplitude of 1 V/m.

33
www.gradeup.co

If the relative permittivity of the medium is C.  Re (P )  ndS


ˆ remains constant at any
s
4, the magnitude of the time average
radial distance from the source
power density vector (in W/m2) is
1 1
D.  Re (P )  ndS
ˆ decreases with increasing
A. B. s
30 60
radial distance from the source
1 1
C. D. [2011: 1 Mark]
120 240
42. The electric field intensity of a plane wave
[2010: 1 Mark]
traveling in free space is given by the
41. Consider the following statements
following expression
regarding the complex Pointing vector P
E ( x, t ) = ˆ
ay 24 cos ( t − k0x ) ( V / m)
for the power radiated by a point source in
In this field, consider a square area 10 cm
an infinite homogenous and lossless
× 10 cm on a plane x + y = 1. The total
medium. Re( P ) denotes the real part of P
time-averaged power (in mW) passing
, S denotes a spherical surface whose
through the square area is______.
centre is at the point source, and n̂ [2015: 2 Marks, Set-1]
denotes the unit surface normal on S. 43. The distance in meters) a wave has to
Which of the following statements is TRUE? propagate in a medium having a skin depth

A. Re( P ) remains constant at any radial of 0.1 m so that the amplitude of the wave

distance from the source attenuates by 20 dB, is


A. 0.12 B. 0.23
B. Re( P ) increases with increasing radial
C. 0.46 D. 2.3
distance from the source
[2010:1 Mark]

ANSWER

1. C 2. B 3. C 4. D 5. C 6. A 7. 0.1 8. B 9. A 10. C

11. A 12. 8.886 13. C 14. D 15. C 16. A 17. D 18. C 19. A 20. A

21. C 22. A 23. 30° 24. D 25. B 26. C 27. B 28. C 29. 2 30. C

31. C 32. D 33. D 34. B 35. A 36. A 37. B 38. A 39. D 40. C

41. D 42. 0 43. B

34
www.gradeup.co

SOLUTION

sin t   4.
1. = 1 1  sin30 = 1
 ε2 = 2
sin i 22 sin 45 1  r

1
2. For cable 1, velocity, V1 =
1  1

For cable 2, velocity,

1
V2 =
2  2

Since, frequency is same, V  

1 
= 1
2 2 1 1
sinθ = =
2 2
0r1 r1
= =
0rs r2   = 45BD = AB = 1 m

3. Area = π × DB2 = πm2


5. From the figure, ˆ
an = ˆ
ax

En = (E1  ˆ
an ) ˆ
an
1

= 4a
ˆx + 3a
ˆy + 5a ax  ˆ
ˆz  ˆ ˆx
ax = 4a

Et = E1 − En
1 1

ˆx + 3a
Et = 4a ˆy + 5a
ˆz − 4a
ˆx = 3a
ˆy + 5a
ˆz
1

Assuming plane is charge free, i.e. ρs = 0


From Boundary conditions:

(i) Dn = Dn
1 2
Since tangential components of electric
1 En =2 En b
1 2
field is same, therefore
1 3 o
Ex1 = Ey2 and Ez1 = Ez2 En =  En1 = ˆx
 4a
2 2 4 o
and as, Dx1 = Dy2 or Ex1 1 = Ex2 2 (ii) Et = Et
1 2
2
(1.5) Et = ˆ ˆz
ay + 5a
or Ex2 = ux = 1.2ux 2
2.5
ˆx + 3a
E2 = Et + En = 3a ˆy + 5a
ˆz
2 2
Thus, E2 = Ex2 + Ey2 + Ez2 = Ex2 + Ey1 + Ez1
ˆx b
E2 = 2a
( )
= 1.2ux − 3uy + 1uz Volts / m.

35
www.gradeup.co

6. x > 0 (Region 2): r = r = 2 44


2 2 = Nep / m = 46Nep / m
3

44
= Nep / m = 46Nep / m
3

α = 0.46 Nep/cm
At a distance of 10 cm |E| is given by
ˆ
an = ˆ
ax
|E| = 10e–a × 10 = 0.1 V/m
ˆx + 30a
H1 = 3a ˆy 8. E (z, t) = 10cos (2  × 107 t - 0.1  z)
Now (2  × 107 t - 0.1  z) = Constant
Hn = (H1  ˆ
1
an ) ˆ
an =  3a
 x
ˆ + 30a ax  ˆ
ˆy  ˆ a
 x( )
Taking differentiation, we have
ˆx
Hn = 2a
1 dz 2  107
v= = = 2  108 m/ s
dt 0.1
x > 0 (Region1 ): r = 5r = 1.
1 1
9. The electric field in any arbitrary direction
ˆy A / m
J = 10a
is given as E = Eoe
( ˆr
J t −n )
From Boundary conditions, (i) Bn = Bn
1 2

1Hn = 2Hn
1 2

1 
Hn =  Hn = o  3a
ˆx = 1.5a
ˆx
2 2 1 20

(
(ii) Ht − Ht = ˆ
1 2
ax  Js )
(30a y − Ht
2 ) = ˆa x ˆy = 10a
 10a ˆz

ˆy = 10a
Ht = 30a ˆz
2

ˆx + 30a
H2 = Ht + Hn = 1.5a ˆy − 10a
ˆz
2 2

7. Given, r = 1 − j2, r = 1 − j2, f = 1.1GHz The wave propagates in the direction


perpendicular o the direction of electric
|E0| = 10 V/m
magnetic field.
Attenuation constant of the medium is
given by Now, E = ˆ
y  Eoe
( ˆr
J t −n )
r 1 + (  )2  1 + (  )2  − 1 − '  n̂  r b = xcos30° + ycos90° + zcos60°
 = 0
2      r

3 1
2 2 22 n̂  r = x+ z
Where 0 = = = 2 2
0 3  108 3
 3x 1 
1.1  109 J t −

 2
+ z 
2 
E=ˆ
yE0 e
22 1
= [1 + 4][1 + 4] − [1 − 4] 
J t −
3 2
−
1  
z

J t −
3  
x− z
3 2  2  2    2  
= ŷE0 e = ŷE0 e 

36
www.gradeup.co

37
www.gradeup.co

10. From given figure, E is laying in the plane Propagating vector,

of incidence, thus this is the case of ki = 1 sin i  ˆ


ax + 1 cos i  ˆ
ax

parallel polarization for oblique incidence. 2 2   104


1 = = = rad/ m
From Snell’s law of refraction.  600  10−16 3

sin t r   104
= 2
(1 = 2 = o for non-magnetic k1 = sin 45ˆ ay 
ax + cos 45ˆ
3 
sin i r
1

  104
medium) = (ˆax + ˆaz )
3 2
4.5
sin i =  sin19.2 = 0.697 ˆx + ya
ˆy + za
ˆz
1 Position vector, r = xa

i  45   104
k1  r = ( X + Z)
3 2
Ei0 sin i ( −ˆ
az )
Incidence electric field intensity

104 ( x + Z)
Eo −j
Ei = (ˆax − ˆaz )  e 3 2 V/ m
2

Wave is propagating in x-z plane and


electric field has x and z components,
hence, it is parallel polarization.
11. Reflection coefficient for parallel polarized
wave,

2 cos t − 1 cos i
p =
2 cos i + 1 cos i

0
 i − 45, t = 19.2, 2 = ; 1 − 0
4.5

cos19.2 − 4.5 cos 45


p = = −0.23
cos19.2 + 4.5 cos 45

− j(kr )
Er =  −Er cos rˆ az  e
ax − Er sin rˆ
 o o 

Er = 0.23E0 cos 45ˆ


ax

 104 
− j (x −z)
 3 2 
+0.23E0 sin 45ˆ
az  e  

j104
( ) Eo − ( x − z)
ax + Eo sin i ( −ˆ
az )   e
− j kir
 Ei = E0 cos i  ˆ Er = 0.23 (ˆax + ˆaz ) e 3 32 V /m
2
… (i)

38
www.gradeup.co

 z  Power transmitted,
12. E = 2 cos 103 t − ˆax V/ m
 2 2
1  22 
Pr = Pj   
Comparing it with equation, 2  1 + 2 
E = Em cos ( t − z ) ˆ
ax V/ m 2  22 
2
8
= Pj  2   = Pi 
ω = 108 rad/sec 2  22 + 2  9

1 17. When electromagnetic wave is incident at


β= rad/ m
2
Brewaster angle, the reflected wave is
2 2 linearly polarized because reflection
λ= m= = 2 2 m = 8.886 m
 1/ 2
coefficient for parallel component is zero.
13. Ex = ( 0.5)  e (
j t −kz )
ˆ
x r r
tan ( B ) = 2
 tan 60 = 2

j( t −kz ) cr 1
ˆ 1
Ey = j  e y
r = 3
2
Ex 1
Then, = 0.5je− /2 =  − 90
Ey 2 Ereflected Er  − 1
18. = = 2
Eincident Ei 2 + 1
And wave is elliptically polarized, as

Ex η=
 1. E
Ey

14. Reflection coefficient,

0 0

2 − 1 0 tr 0 tr
= =
2 + 1 0 0 But μ is same for, therefore

0 tr 0
1 1
−1 −1
1 E Er 2
= − = 0.333180
3 K= r = = 3 = = 0.5
Ei 1 1 4
+1 +1
15. Given: Er 3

 
ax sin ( t − z ) + ˆ
E= ˆ ay sin  t − bz +  Alternately
 2
Reflection coefficient,
If the phase difference between E x and Ey
2 1
 −
component is , then wave is circularly 2 − 1 2 1
2 TL = =
2 + 1 2 1
+
polarized and when Êy leads the wave is 2 1

right hand circularly polarized. 1


−1 1
r −1
16. For free space, intrinsic impedance = = 3 = −0.5
1 1
0r  1  +1 +1
η2 = 2 = = 0  = 60 = 1 r 3
0r 0 r 2
TL = 0.5

39
www.gradeup.co

8
(
19. Ei = 24 cos 3  10 t − y ˆ
az V/ m )
Comparing Ei with E = Em cos(ωt – βy)

âz V/ m it can be inferred that the plane

wave given by E  H is travelling in the y-

direction having electric field along the +âz

direction and magnetic field along +âx

direction.
Left hand clockwise circular polarixation
Ej
Now, as = phase difference, δ = 90°
Hi

Ej
Hj =

=
1
5
(
cos 3  108 t − z  ax A / m )
Ej 1 − 2
Also, =
Hi 1 + 2

Given: ur = ur = 1
1 2

Er = Er = 9
1 2

0r 0r 1 1
1
− 2 − In, the given wave, Ey = Ez = 10 V/ m.
Er E0 E0Er Er Er 0 0
1 2 1 2
=
1 1 and Ex leads Ey by an angle of 90°.
0 r1  r +
 0 2 Er Er 21. Propagation vector of reflected wave
E0 Er E0 Er 1 2
1 2
kr = 1 cos r  ˆ
ax + 1 sin r  ˆ
ay
9− 1 1
= =
9+ 1 2

then, Hr = 1 cos 3  108 t − y ˆ


10
ax A / m ( )
20. Given: E = 10 ˆ (
ˆz e− j25x V / m
ay + ja )
β = 25 rad/m, ω = vp = βc

25  3  108
f= = 1.2  109 Hz = 1.2GHz.
2

Ey = Ez = 10 V/ m
0 0

Direction of propagation, ˆ
ak = ˆ
ax Phase constant, β1 = 32 + 42 = 5 b

40
www.gradeup.co

4
Incident angle, θr = tan−1   = 53.13
3
(
23. Given: E = 10 cos t − 3x − 3z ay )
Reflected angle, θr = θi = 53.13° E = E0e
(
J x cos x + y cos y + z cos z )
ˆx + 4a
 kr = 3a ˆy
So, βx = β cosθx = 3
ˆx + ya
r = xa ˆy  kr  r = 3x + 4y βy = β cosθx = 0

βz = β cosθz = 3
Reflected wave,
2x + 2y + 2z = 2
Er = E0ˆ (
ax + E0 ˆ
ay + E0 ˆ
y
az e
z ) (
j t −kr r )
9 + 3 = β2
(
= E0 ˆ
ax + E0 ˆ
x
ay + E0 ˆ
y
az e
z ) j( t − 3x − 4y )
  = 13   cos z = 3
Unsing Maxwell’s equation, 3
 cos z =
  Er = 0  kr  Er = 0  3Eo + 4E0 = 0 13
x t
 z = 61.28 = i
From Boundary condition (at x = 0),
Et = Et = 0 (perfect conductor). sin i E sin 61.28 3
1 2 = 2  =
sin t E1 sin t 1
ˆz + 5a
Et = Ei + Er = 6a ˆz + E0 ˆ
ay + E0 ˆ
az = 0
1 1 1 y z
0.8769
 = sin z
ˆy + 5a
6a ˆz = E0 ˆ
ay − E0 ˆ
az  E0 = −6 3
y z y

E0 = −5 θt = 30.4  t  30
z

From equation (i), 3E0x + 4(–6) = 0 24. E = ( ax + 4jay ) e (


j 2107 t −0.2z ),
 E0x = 8
Ez = cosωt
Reflected wave, 

Ey = 4 cos   +  = −4 sin t
(
ˆx − 6a
Er = 8a ˆy − 5a
ˆz e ) j( t −3x − 4y )
V /m  2

 = 2  107
No option is correct.
β = 0.2
22. E(z, t)=3 cos (cos –kz + 30°)
So, it left hand elliptical polarization
ax − 4 − sin ( t − kz + 45) ˆ
ˆ ay

Ex = 3 cos (ωt –kz + 30°)


25. Given: E ( z, t ) = ˆ (
ax 5 cos 2  10 t + z 9
)
Ey = –4 cos (ωt –kz + 45°)  
+ây 3 cos  2  109 t + z − 
At, z = 0  2

Ex = 3cos(ωt + 30°) Wave is travelling is −âz direction. It has


Ey = –4sin (ωt + 45°) orthogonal components with unequal
Ex  Ey
amplitudes and ây component lags ây
So, Elliptica polarization, components.
Q = 30° – 135° = –105° Hence, wave is left hand elliptically
Left hand elliptical (LEP) polarized.

41
www.gradeup.co

26. For velocity being free, As the wave is parallelly polarized,


1
Since KE = mV2 r 0
2  tan B = = r = 2
0
E
V= So, r = 2
q
E = Energy 30. Given: ω = 50000, β = 0.004
V = Applied voltage Phase velocity,
q = Electric charge of a metal plate  5  104
vp = = = 1.25 × 107 m/s
1  4  10−3
mV2 = qV
2
31. In vacuum, c = fλ
d 2qV
V= = c 3  108
t m = = = 3  10−6 m
f 14
10
d 2qV
=  3  10−6
t m In glass, λg = = = 2 m
n 1.5
d
t=
2qV 32. vp = ω/β can be calculated. Polarizatin can
m be identified.
d μr and r cannot be found, due to which
t
V power flux cannot be calculated as power
27. The reflected wave will be left circularly flux
polarized because. 2
(i) 180° phase difference between reflected 1 E r
P= , where  = 120 
2  r
and incident wave.
(ii) Change in direction after reflection Hence, the power flux through the z = 0
from conductor. plane.
28. Option C is the correct answer. 33. Given data c = 3 × 108 m/sec
29.
Group velocity, g = 2 × 108 m/sec

Now, p g = c2

c2
 P=
g

 Phase velocity,
2
3  108
( p ) = c =
2  108
= 4.5  108 m/ sec
g
 1 2 
Ki = 2 ˆ (
x + 2z )
ˆ = 2 3 
 3
ˆ
x+ ˆ
z
3  34. Equations, for electric and magnetic field

for a plane EM have propagating in the z-
1
cosθix =  tabix = 2 direction,
3
Now as there is no reflected wave, 2Ey 2
2Ey
=C
 ix = B = Brewster angle z2 t2

42
www.gradeup.co

E 0 T  25  3
35. J = σE ωD =   E or = 2f cos2 ( t − z )
D =
T   2
0  0
10−2
2f =
8.85  10−12 25 
+ sin2 ( t −  z ) +  dt
f = 45 MHz 20 2 
 T
36. Loss tangent= 100 100  T   50 
 =  cos2 ( t − z ) dt =  = 
T0 0 T0  2   0 
1.7  10−4
= 40. Magnitude of time – average power density
2  3  109  78  8.86  10−12
tanδ = 0.13 × 10–4 = 1.3 × 10–15. E2 
vector Pav = where = for
1 2 
37. Depth of penetration, δ =
f nonmagnetic lossless dielectric.

= 12 1 1 / 120 1
1  f2 4 = = 2 = W / m2
or    1 = = or δ2 0 1 2 120
f 2 f1 1 2
3 0
1
=
2
= 13.50 cm 41.  s Re (P )  nds
ˆ gives average power and it
38. Time – Averaged pointing vector, decreases with increasing radial distance
1 from the source.
Pavg= Re E  H* 
2  
42. E = 24 cos ( t − z ) ˆ
ax V / m
  k 
1 (
 ax − jay  e )
jkz − jt
 
   
Pavg = Average Poynting vector
= Re 
2
 y (
 a − ja e− jkz + jt
x ) 
 (24)2 â
= z surface over the plane
k 2
=
  (  ) (
Re  ax + jay  ay − jax  = 0 ) x + y = 1 (x + y + 0z = 1)
= Null vector Normal to this plane is n̂ = (1,1, 0 )

39. Given: H = Hxˆ


x + Hyˆ
y Power crossing =  Pavg  dS
s
So, plane wave propagating in free space
will be more in z-direction, (24)2 â
=  2 z (1,1, 0) dS =0
We know that, pointing vector, s

ˆ
P = E  H =  0H2  k 43. Attenuation constant,
 
1
that shows the power flow in z-direction = = 10 Np / m
skin depth
Instantaneous power,
E 
 20 log10  0  − 20dB
P = P =  0H 
2
   Ex 
Average power over an interval (0, 2  ) E0 E E0
 = 101  0 = 10  Ex =
will be Ex Ex 10
2 T T
1 1 1 E
Pav =  Pd =  Pdt =  H2dt Now, Ex = E0e
−x
= E0e−10x = 0
2 0
T0 T0 10
T 1 1
ln (10) = 0.23 m
 (Hx + Hy )dt
0 2 2  e−10x = x=
= 10 10
T 0
❖❖❖❖

43
www.gradeup.co

44
4
www.gradeup.co

Transmission Lines

Analysis of Previous GATE Papers

2017 Set 1

2017 Set 2

2016 Set 1

2016 Set 2

2016 Set 3

2015 Set 1

2015 Set 2

2015 Set 3
2020

2019

2018
Year →
Topic
Topic ↓

MCQ Type
1 Mark
Numerical Type 1 1
Equations MCQ Type 1
2 Marks
Numerical Type 1 1
Total 1 2 5
MCQ Type 1
1 Mark
Numerical Type 1 1 1
Characteristic Impedance
MCQ Type 1
and Impedance Matching 2 Marks
Numerical Type 1
Total 1 1 1 1 2 2
MCQ Type
1 Mark
Numerical Type
Transformation and
MCQ Type
S-Parameters 2 Marks
Numerical Type 1
Total 2
MCQ Type 1
1 Mark
Numerical Type
Smith Charts MCQ Type
2 Marks
Numerical Type
Total 1

45
www.gradeup.co

EQUATIONS dielectric material with >0. Maxima and


minima are observed when the electric
1. A transmission line is distortionless if
field is measured in front of the slab. The
A. RL = 1 B. RL = GC maximum electric field is found to be 5
GC

C. LG = RC D. RG = LC times the minimum field. The intrinsic

[2001: 1 Mark] impedance of the medium should be

2. A uniform plane electromagnetic wave A. 120  Ω B. 60  Ω

incident normally on a plane surface of a C. 600  Ω D. 24  Ω

dielectric material is reflected with a VSWR [2004: 2 Marks]

of 3. What is the percentage of incident 6. A lossless transmission fine is terminated

power that is reflected? in a load which reflects a part of the

A. 10% B. 25% incident power. The measured VSWR is 2.

C. 50% D. 75% The percentage of the power that is

[2001: 2 Marks] reflected back is

3. The VSWR can have any value between A. 57.73 B. 33.33

A. 0 and 1 B. —1 and +1 C. 0.11 D. 11.11

C. 0 and  D. 1 and  [2004: 2 Marks]

[2002 :1 Mark] Common data for Questions 7 and 8:

4. Consider a 300 Ω, quarter-wave long (at 1 Voltage standing wave pattern in a lossless

GHz) transmission line as shown in the transmission line with characteristic

figure. It is connected to a 10 V, 50 Ω impedance 50 Ω and a resistive load is

source at one end and is left open circuited shown in the figure.

at the other end. The magnitude of the


voltage at the open circuit end of the line is

A. 10 V B. 5V
60
C. 60 V D. V
7
[2004: 2 Marks]
7. The value of the load resistance is
5. A plane electromagnetic wave propagating
A. 50 Ω B. 200 Ω
in free space is incident normally on a
C. 12.5 Ω D. 0 Ω
large slab of loss-less, non-magnetic,
[2005: 2 Marks]

46
www.gradeup.co

8. The reflection coefficient is given by (VSWR) and magnitude of reflection


A. –0.6 B. –1 coefficient are respectively
C. 0.6 D. 0 A. 1.22 and 0.1 B. 0.81 and 0.1
[2005: 2 Marks] C. –1.22 and 0.1 D. 2.44 and 0.2
Common data for Questions 9 & 10: [2013: 1 Mark]
A 30 Volts battery with zero source resistance 13. A coaxial cable is made of two brass

is connected to a coaxial line of characteristic conductors. The spacing between the

impedance of 50 Ohms at t = 0 second and conductors is filled with Teflon (r = 2.1,

terminated in an unknown resistive load. The tan δ = 0). Which one of the following

line length is such that it takes 400 is for an circuits can represent the lumped element

electromagnetic wave to travel from source model of a small piece of this cable having

end to load end and vice-versa. At t = 400 μs, length Δz?

the voltage at the load end is found to be 40


Volts.
9. The load resistance is
A. 25 Ohms B. 50 Ohms
C. 75 Ohms D. 100 Ohms
[2006: 2 Marks]
10. The steady-state current through the
loadresistance is
A. 1.2 A B. 0.3 A
C. 0.6 A D. 0.4 A
[2006: 2 Marks]
11. In the design of a single mode step index
optical fiber close to upper cut-off, the
single-mode operation is NOT preserved if
A. radius as well as operating wavelength
are halved
B. radius as well as operating wavelength
are doubled
C. radius is halved and operating
wavelength is doubled
D. radius is doubled and operating
wavelength is halved
[2008: 2 Marks]
12. The return loss of a device is found to be
[2015: 2 Marks, Set-3]
20 dB. The voltage standing wave ratio

47
www.gradeup.co

14. A coaxial capacitor of inner radius 1 mm the input reflection coefficient Γ as a


and outer radius 5 mm has a capacitance a function of frequency f. The phase velocity
per unit length of 172 pF/m. If the ratio of of the signal in the transmission lines is 2 ×
outer radius to inner is doubled, the 108 m/s.
capacitance per unit length (in pF/m) is
________.
[2015: 2 Marks, Set-3]
15. A 200 in long transmission line having
parameters shown in the figure is
terminated into a load RL. The line is
connected to a 400 V source having source
resistance RS through a switch which is
closed at t = 0. The transient response of
the circuit at the input of the line (z = 0) is
also drawn in the figure. The value of RL
(in Ω) is _______. The length L (in meters) of T2 is ________.
[2016: 2 Marks, Set-2]
17. A two-wire transmission line terminates in
a television set. The VSWR measured on
the line is 5.8. The percentage of power
that is reflected from the television set is
_________.
[2017: 1 Mark, Set-2]

CHARACTERISTIC IMPEDANCE &


IMPEDANCE MATCHING

18. The magnitudes of the open-circuit and


short-circuit input impedances of a
transmission line are 100 Ω and 25 Ω
respectively. The characteristic impedance
of the line is
A. 25 Ω B. 50 Ω
C. 75 Ω D. 100 Ω
[2015: 1 Mark, Set-3]
[2000: 1 Mark]
16. A microwave circuit consisting of lossless
19. Characteristic impedance of a transmission
transmission lines T1 and T2 is shown in the
line is 50 Ω. Input impedance of the open-
figure. The plot shows the magnitude of
circuited line is ZOC = 100 + j150 Ω.

48
www.gradeup.co

When the transmission line is short- 22. A transmission line terminates in two
circuited, then value of the input λ
branches, each of length , as shown. The
impedance will be 4

A. 50 Ω B. 100 + j150 Ω branches are terminated by 50 Ω loads.

C. 7.69 + j11.54 Ω D. 7.69 -j11.54 Ω The lines are lossless and have the

[2005: 2 Marks] characte-ristic impedances shown.


20. The parallel branches of a 2-wire Determine the impedance Zi as seen by the
transmission fine are terminated in 100 Ω source.
and 200 Ω resistors as shown in the figure.
The characteristic impedance of the line is
Z0 = 50 Ω and each X section has a length
λ
of . The voltage reflection coefficient Γ at
4
the input is

A. 200 Ω B. 100Ω
C. 50 Ω D. 25 Ω
[2009: 2 Marks]
23. A transmission line has a characteristic
impedance of 50 Ω and a resistance of 0.1
Ω/m. If the line is distortionless, the
attenuation constant (in Np/ In) is
A. 500 B. 5
C. 0.014 D. 0.002
[2010 :1 Mark]
24. In the circuit shown, all the transmission
−5
A. − j 7 B. fine sections are lossless. The Voltage
5 7
Standing Wave Ratio (VSWR)on the 60Ω
5 5
C. j D.
7 7 line is
[2007: 2 Marks]
21. One end of a loss-less transmission fine
having the characteristic impedance of 75
Ω and length of 1 cm is short-circuited. At
3 GHz, the input impedance at the other
end of the transmission fine is
A. 0 B. resistive A. 1.00 B. 1.64
C. capacitive D. inductive C. 2.50 D. 3.00
[2008: 2 Marks] [2010: 2 Marks]

49
www.gradeup.co

25. A transmission fine of characteristic 28. For a parallel plate transmission line, let v
impedance 50 Ωis terminated by a 50 load. be the speed of propagation and Z be the
When excited by a sinusoidal voltage characteristic impedance. Neglecting fringe
source at 10 GHz, the phase difference effects, a reduction of the spacing between
between two points spaced 2 mm apart on the plates by a factor of two results in
 A. halving of v and no change in Z
the line is found to be radians. The
4
B. no changes in v and halving of Z
phase velocity of the wave along the line is
C. no change in both v and z
A. 0.8 x 108 m/s
D. halving of both v and z
B. 1.2 x 108 m/s
[2014: 2 Marks, Set-1]
C. 1.6 x 108 m/s
D. 3 x 108 m/s 29. The input impedance of a λ section of a
8
[2011: 1 Mark]
lossless transmission line of characteristic
26. A transmission fine of characteristic
impedance 50 Ω is found to be real when
impedance 50 Ωis terminated in a load
the other end is terminated by a load Z L =
impedance ZL. The VSWR of the line is
(R + jX) Ω. If X is 30 Ω, the value of R (in
measured as 5 and the first of the voltage
Ω)is _______.
maxima in the line is observed at a
[2014: 2 Marks, Set-1]
λ
distance of from the load. The value of 30. To maximize power transfer, a lossless
4
ZL is transmission line is to be matched to a

A. 10 Ω λ
resistive load impedance via a
4
B. 250 Ω
transformer as shown.
C. (19.23 + j46.15) Ω
D. (19.23 —j46.15) Ω
[2011: 2 Marks]
27. A transmission line with a characteristic
impedance of 100 Ωis used to match a 50
Ω section to a 200 Ωsection. If the
matching is to be done both at 429 MHz
The characteristic impedance (in Ω) of the
and 1 GHz, the length of the transmission
λ
line can be aporoximately transformer is ________.
4
A. 82.5 cm
[2014: 1 Mark, Set-2]
B. 1.05 m
31. In the transmission line shown, the
C. 1.58 m
impedance Zin (in ohms) between node A
D. 1.75 m
and the ground is ________.
[2012: 2 Marks]

50
www.gradeup.co

excited with a frequency of 37.5 MHz.


What is the nature of the input impedance
(Zin)?

[2014: 2 Marks, Set-2]


32. In the following figure, the transmitter Tx
sends a wideband modulated RFsignal via
a coaxial cable to the receiver Rx. The
[2015: 2 Marks, Set-3]
output impedance Zr. of T x, the
34. The propagation constant of a lossy
characteristic impedance Z0 of the cable
transmission line is (2 + j5) m -1
and its
and the input impedance ZR of Rx are all characteristic impedance is (50 + j0) Ωat
real. ω = 106 rad-s-1. The value of the line
constants L, C, R, G are respectively,
A. L = 200 μH/m, C = 0.1 μF/m,
B. L= 250 μH/m, C = 0.1 μF/m,
R= 50 Ω/m, G = 0.02 S/m
R= 100 Ω/m, G = 0.04 S/m
Which one of the following statements is C. L = 200 μH/m, C = 0.2 μF/m,
TRUE about the distortion of the received D. L= 250 μH/m, C = 0.2 μF/m,
signal due to impedance mismatch? R= 100 Ω/m, G = 0.02 S/m
A. The signal gets distorted ifZR ≠ Z0, R= 50 Ω/m, G = 0.04 S/m

irrespective of the value of ZT [2016: 1 Mark, Set-1]

B. The signal gets distorted ifZT≠Z0, 35. A lossless microstrip transmission line

irrespective of the value of ZR. consists of a trace of width W. It is drawn

C. Signal distortion implies impedance over a practically infinite ground plane and

mismatch at both ends: ZT ≠ Z0 and ZR ≠ is separated by a dielectric slab of


thickness t and relative permittivity r> 1.
Z0.
The inductance per unit length and the
D. Impedance mismatches do NOT result in
characteristic impedance of this fine are L
signal distortion but reduce power transfer
and Z0, respectively.
efficiency.
[2014: 1 Mark, Set-3]
33. Consider the 3 in long lossless air-filled
transmission line shown in the figure. It
has a characteristic impedance of 120 π Ω,
is terminated by a short circuit, and is

51
www.gradeup.co

Which one of the following inequalities is TRANSFORMATION & S-PARAMETERS


always satisfied?
39. A short-circuited stub is shunt connected
Lt
A. Z0  to a transmission fine as shown in the
ε0εr W
figure. If Z0 = 50 Ω, the admittance /seen
Lt at the junction of the stub and the
C. Z0 
ε0εr W
transmission line is
Lw
B. Z0 
ε0εr t

LW
D. Z0 
ε0εr t

[2016: 2 Marks, Set-2]


36. The voltage of an electromagnetic wave
propagating in a coaxial cable with uniform
characteristic impedance is V(l) = e-γl +

jωl
Volts, where l is the distance along the A. (0.01–j0.02) mho

length of the cable in metres, y = (0.1 + B. (0.02 –j0.01) mho

j40) m-1is the complex propagation C. (0.04 –j0.02) mho


D. (0.02 + j0) mho
constant, and ω = 2π x 109 rad/s is the
[2003: 2 Marks]
angular frequency. The absolute value of
40. A load of 50Ω is connected in shunt in a 2-
the attenuation in the cable in dB/metre is
wire transmission line of Z 0 = 50Ω as
________.
shown in the figure. The 2-port scattering
[2017: 1 Mark, Set-1]
parameter matrix (S-matrix) of the shunt
37. A lossy transmission line has resistance per
element is
unit length R = 0.05 Ω/m. The line is
distortionless and has characteristic
impedance of 50 Ω. The attenuation
constant (in Np/m correct to three decimal
places)of the fine is _______.
[2018: 1 Mark]
38.A transmission line of length 3λ/4 and  1 1 
− 2  0 1
having a characteristic impedance of 50 Ω A.  2  B.  
 1 1
−  1 0
is terminated with a load of 400 Ω. The  2 2
impedance (rounded off to two decimal  1 2   1 3
−   4 − 4
places) seen at the input end of the C.  3 3  D.  
 2 − 1 − 3 1 
transmission line is _______ Ω.  3 3   4 4 
[2020: 1 Marks]
[2007: 2 Marks]

52
www.gradeup.co

41. If the scattering matrix [S] of a two-port SMITH CHARTS


network is
 0.20o 44. In an impedance Smith chart, a clockwise
0.990o 
[S] =  
o
0.990 0.190o  movement along a constant resistance

then the network is circle gives rise to


A. lossless and reciprocal A. a decrease in the value of reactance
B. lossless but not reciprocal
B. an increase in the value of reactance
C. not lossless but reciprocal
D. neither lossless nor reciprocal C. no change in the reactance value

[2010:1 Mark] D. no change in the impedance value


42. A two-port network has scattering [2002:1 Mark]
S S12 
parameters given by [S] =  11  . If 45. Consider an impedance Z = R + jX marked
S  21 S22 

the port-2 of the two-port is short ciruited, with point Pin an impedance Smith chart as

the s11 parameter for the resultant one- shown in the figure. The movement from
port network is point P along a constant resistance circle in
s11 − s11s22 + s12s21
A. the clockwise direction by an angle 45° is
1 + s22
equivalent to
b
B. 1
a1 a2 = 0

s11 − s11s22 + s12s21


C.
1 − s22

s11 − s11s22 + s12s21


D.
1 − s22

[2014: 1 Mark, Set-1]


43. For a 2-port network consisting an ideal
lossless transformer, the parameter S21,
(rounded off to two decimal places) for a
reference impedance of 10Ω, is ……..

A. adding an inductance in series with Z

B. adding a capacitance in series with Z

C. adding an inductance in shunt across Z

D. adding a capacitance in shunt across Z

[2004: 2 Marks]

[2020: 2 Marks]

53
www.gradeup.co

46. Many circles are drawn in a Smith chart

used for transmission line calculations. The

circles shown in the figure represent

A. P: Open Circuit, Q: Short Circuit, R:


A. unit circles Matched Load
B. constant resistance circles B. P: Open Circuit, Q: Matched Load, R:
C. constant reactance circles Short Circuit
D. constant reflection coefficient circles C. P: Short Circuit, Q: Matched Load, R:
[2005: 2 Marks] Open Circuit
47. The points P, Q and R shows on the Smith D. P: Short Circuit, Q: Open Circuit, R:
chart (normalized impedance chart) in the Matched Load
following figure represents: [2018:1 Mark]

ANSWER

1. C 2. B 3. D 4. A 5. D 6. D 7. C 8. A 9. D 10. B

11. D 12. A 13. B 14. D 15. 30 16. 0.1 17. 49.53 18. B 19. D 20. D

21. D 22. D 23. D 24. B 25. C 26. A 27. B 28. B 29. 40 30. 70.7

31. 33.33 32. C 33. D 34. B 35. B 36. 0.868 37. 0.001 38. 6.25 39. A 40. B

41. C 42. B 43. 0.8 44. B 45. A 46. B 47. C

54
www.gradeup.co

55
www.gradeup.co

SOLUTION

1. Characteristics equation of a transmission 1 E


5. = min
line 2 Emax

R + jL 2 E 
Z0 = = 0  2 = 0 = 24
G + jC 0 5E0 5

At microwave frequency, R ≪ ωL, G ≪ ωC 1+  1+ 


6. VSWR, ρ = 2= or  = 1
1− 1− 3
L  R  R 
Z0  1 +  1 − 
C  2jL  2jL  Then, percentage of the power that is

Pref 1
L  1 R G  reflected back, = 2 =  100 = 11.11
 1 +  −  Pinc 9
C 2  2jL 2jL  

 
L  1  7. From figure, Vmax = 4V  at  , Vmin = 1V

C 1 + 2jLC (RC − GL )   4
 
(at load). It is possible when ZL< Z8 with
Transmission line will be lossless when
ZL being purely resistive.
imaginary term is equal to zero.
i.e. RC = GL Vmax 4
VSWR , S = = =4
Vmin 1
1+  1+ 
2. VSWR = 3   = 0.5
1−  1−  Z0
S=  ZL = 12.5
ZL
Reflected power, Pr 2
=  = 0.25
Indicent power,Pt 8. Reflection coefficient,

of total incident power is ZL + Z0


 25% =
ZL + Z0
reflected.
12.5 − 50
1 + l =  −0.6
3. VSWR = 12.5 + 50
1 − l
9.
Since, | l | 1

 0  VSWR 

4. Moving in clockwise direction in the


constant resistance circle gives rise to
inductive effect, which is same as adding
inductance in series.
VS = 30 V, ZS = 0 Ω
Similarly, moving is anticlockwise direction
Z0 = 50 Ω
in the constant resistance circle gives rise
t1+ = 400 μs; V (l2t1+) + 40 V
to capacitive effect, which is same as
adding capacitor in series.

56
www.gradeup.co

11. In optical fiber, the mode volume is given


by,
nd 2
V= n1 − n2
2

d = Diameter of fiber, λ = Wavelength


When V < 2.405, then fiber support single
mode for V < 2.405, then fiber supports
multi mode. So single mode is NOT
10V0 + α1 + Y0.
ZL – Z0 preserved if radius is doubled and

α L = ZL + Z0 wavelength is halved became is that case


At t = 0+: V > 2.405 and fibre will support multi
mode.
12. The reflection co-efficient co-efficient is –

−20log  = 20dB  log  = −1dB;

  = 10−1   = 0.1

Relation between  and VSWER is


1+  1 + 0.1 1.1
S= = = = 1.22
1−  1 − 0.1 0.9
V0 + VS = 30 V
40 = VS (1 +αL) = 30 (1 + α1) 
13. Loss tangent tan δ = 0 =

4 Z − 50 Z − 50 1
=1+ L  L =
3 ZL + 50 ZL + 50 3 σ=0

ZL = 100Ω G – Conductivity of the dielectric material

10. From the current bounce diagram, steady So, S = 0 = G


state current through load resistance is 14. Entropy is maximum when all symbols are
I0 (1 − 1 ) equiprobable. If the probabililty of symbols
ISS =
1 − L − S
are different then entropy is going to
ZS − Z0 0 − 50 decrease.
S = = = −1
ZS + Z0 0 + 50
15. Phase, velocity,
1
L = 1 C 3  108
3 vp = = =
 r r 2.25  1
V 30
I0 = 0 = = 0.6
Z0 50 vp = 2 × 108 m/s (μr = 1 – Non magnetic
 1 material ). The transient response of the
0.6  1 − 
 3  0.2  2
ISS = = = 0.3A circuit at the input or source end of line (Z
1 4/3
1 −  ( −1)
3 = 0) is shown in the figure.

57
www.gradeup.co

16. Phase velocity = 2 × 108 m/s


Input impedance at T2
Zin2 = jZ0- cot βl, ( ZL =  )

Load impedance at T1,


ZL1 = 50 ( − jZ0 cot l) = 50 ( −50 cot l)
From graph,
 = 0, at f = 0, 1, 2 GHz
At t = t = μs, the input voltage at
=0 only hen ZL1 = Z0
transmission line becomes 62.5 V. The
− j50t 50 = Z0 = 50
taken by wave to travel from source to
–j50 cot (ωt) = 
load,

l = n  l = 

 n nv
l=n = =
  2 2f
2  
 
for l to be minimum, n = 1,

 2  108
l=  = 0.1m
2 1  109

17. VSWR, S = 5.8


l 200 1+  S − 1 4.8
t= = = 1s =   = = = 0.7058
vp 2  108 1−  S + 1 6.8

From voltage bounce diagram, Preflected


= 2 = 0.4983 or 49.83%
Vo + L Vo + L S V0 = 62.5 Pincident

18. Given: Z0 = 50 Ω, ZoC = 100 + j50 Ω


V0 = 100 V
Characteristic impdence,
R − R0 R − 50
L = L = L
R2 + R 0 R2 + 50 Z0 = Zoc ,Zsc = 100  25 = 50

R S − R 0 150 − 50
S = = = 0.5
R S + R 0 150 + 50 19. Given: Z0 = 50 Ω, ZoC = 100 + j50 Ω

100  (1 + L + 0.5L ) = 62.5 Char. impedance, Z0 = ZocZsc

1.5αL = –0.375 Z2 502


ZSC = 0
=
Zoc 100 + j150
αL = 0.25

RL − 50 50 2 − j3
= −0.25  RL = 30 
RL + 50 2 + j3 2 + j3
Zsc = 7.69 –j11.54 Ω.

58
www.gradeup.co

20. λ/4 section cal be replaced by a 22. For quarter wave transformer input

Z20 impedance is
ZL =
ZL 2
Z2
0  Z
Z20 (100 )
Zin = in1 = = = 200
ZL ZL 50

2
 Zin2 =
(100)
= 200
50
For the front Z0 = 50 Ω line,
200  200
Z2 50  50 ZL = Zin1 Zin2 = = 100
0
 R1 = = = 25 200 + 200
R1 100

50  50 25
502
 R2 = =  = = 25
200 2 100

25 25 23. For a distortionless line, characteristic


 R  = R1 R 2 = 25 = 
2 3
impedance is
Z2
0 50  50  3
 R  = = = 300 L R
R1 25 Z0 = =
C G
Voltage reflection coefficient,
Attenuation constant,
Z − Z0 300 − 50 5
= L = = R 0.1
ZL + Z0 300 + 50 7  = RG = = = 0.002 Np/ m
Z0 50
21. For a lossless line,
24.
 Z + jZ0 tan ( l) 
Zin = Z0  L 
 Z0 + jZL tan ( l) 

   
 0 + j75 tan  5  
= 75   
 75 + 0 


j75 tan   = j54.5 (indutive )
5

In input impedance is given by,

 Z + jZ0 + tan l 
Zin = Zo  L 
 Z0 + jZL + tan l 

Where, Z0 = Characteristics impedance,


ZL = Load impedance
c 3  108
Zin;λ = = = 0.1 m
f 3  109 l = Length of the line,

l =
2 
 1 = rad β = 2
10 5 

59
www.gradeup.co

Then input impedance looking into terminal 26. The reflection coefficient at the receiving

AB, end,

  2    ZL − Z0
JI = , J = JI  eJI
 0 + 30j tan   8   ZL + Z0 I
Z1 = 30     = 30j
 30 + 0 
QI is the phase angle between incident and
Input impedance looking into terminal BC, reflected wave and standing wave ratio,
  2   1 + JI 1 + JI 2
 30 + 30j 2 tan   P= or 5 = l Jx =
 4   1 − JI 1 − JI 3
Z2 = 30 2  = 60
  2  
 30 2 + 30j tan   Now, voltage expression at distance d from
  4  
the load,
  j(  −2d) 
  V(d) = VT eI 1 + JI e I
30 j30 2  
= 30 2  + + 30j = 60
  30 2 
 tan 2  
Given: V(d) is maximum at d =
 tan  / 2  4

Total load impedance looking into terminal 


then, I − 2  = 0 for voltage maximum
4
AC,
or, I =  = 2   = 
ZL = Z1 + Z2 = 60 + 30j 2  2
Reflection coefficient, −2 ZL − Z0
then, JI = JI  e j = −2 and, =
ZL − Z0  60 + 30j − 60  3 3 ZL + Z0
Te = = 
ZL + Z0  60 + 30j + 60  −2 ZL − 50
or, =  ZL = 10
3 ZL + 50
 j  1
=   =
 4 + j 17 27. Characteristics impedance = 100Ω

Hence, VSWR, of Section impedance 50Ω, 200Ω


Frequency = 429 MHz, 1 GHz
1
1+
1 + je 17 = 1.64 (Matching section should have length L =
= =
1 − je 1 off multiple of λ/4 where λ is operating
1−
17
wavelength)

25. φ (Phase difference) = 2  x


Δx = Path differenceor,
 2 2 
= x = 2  10−3 
4    

or, λ = 16 × 10–3 m
1 C
Then, phase velocity, For 429 MHz, I1 = = = 0.175 m;
4 4f1
vp = fλ = 10 × 109 × 16 × 10–3
2 C
For 1 GHz, I2 = = = 0.075 m;
= 1.6 × 108 m 4 4f2

60
www.gradeup.co

Length I should be integral multiple of both 30. Here impedance is matched by using QRT

I1 and I2. 
4
 I = Multiple of LCM of I1 and I2=
 Z0 = ZL Zin = 100  50 = 50 2
Multiple of 0.525 m

Hence, 1.05 m is the appropriate solution Z0 = 70.7 Ω



276  d 31. Here, =
28. Z0 = log   2
r r 
 
Zin  =  = ZL = 50
d – Distance between the two plates  2 
so Z0 – change, if the spacing between the 100
Zin = (100 || 50 ) = = 33.33
3
plate change.
32. Signal distortion implies impedance
1
V = → independent of spacing
LC mismatch at both ends, i.e.,
ZL  Z0 , ZR  Z0
between the plates,

 33. Zin = JZ0 tan βl


29. Given, =
8 2 2 3
l =

l =
8
( 3) =
4
Z0 = 50Ω

  Z + JZ0  3  108
 = = 8 unit
Zin  =  = Z0  L 
8 37.5  108
  Z0 + KZL 
Short circuited line
 Z + J50 
Zin = 50  L 
 50 + KZL  
0  l  → Inductor
2
 Z + J50 ZL − JZL 
= 50  L   
  l   → Capacitor
 50 + KZL 50 − KZL  2

Zin = 50 
L L (
 50Z + 50Z + 502 − Z2
L )  34. Propagation constant, γ = 2 + j5 m–1.

 2 2  Characteristics impedance, Z0 = 50 + j0
50 − ZL
 
Ω, ω = 106 Rad/s
Given: Zin – Real
γ= (R + jL ) (R + jC )
So, Img (Zin) = 0
R + jL
502 – ZL2 = 0 Z0 =
G + jC
ZL2 = 502
γZ0 = R + jωL + (2 + j5). (50 + j0)= 100
R2 + X2 = 502
+ j250
R2 = 502 – X2 = 502 – 302
Hence, R = 100Ω/m ωL = 250
R = 40Ω
250 250
L= = = 250H / m
 106

61
www.gradeup.co

 2 + j5 Char. impedance,
=  G + jC = 0.04 + j0.1
Z0 50
Z0 =
(R + jL ) =
R
0.1 (G + jC ) G
C − 0.1  C − − 0.1F / m
106
R
a =
G = 0.04 S/m Z0

35. Char. impedance of transmission lines is R R


α= R =
Z0 Z0
L A
Z0 = C− ;d − t;
C d = 0.05 = 0.01 = 0.001 Np / m
50 10
A  W and =eff
38. Given, characteristic impedance Z0 = 50 Ω
eff W Load impedance ZL= 400
C
t And input impedance Zin = (Z0)2/ ZL

L Lt = 502 /400
Z0 =
W
=
eff W
( eff 0r )
= 6.25 Ω
eff
t
39. β = 2

Lt
Z0 
0r W

36. V (l) = e
l + jl
= Vo  e−l  e jl

−al − jl
= Vo  e  e  ejl

Input Vo (0)
Attenuation = =
Output Vo (l)

Vo (0)
Attenuation per meter = = e
Vo (lm)
 2  
Attenuation in dB/m = 20 log10(eα) For, yd , l =   =
  2
= 20 × 0.1 log10e = 0.868 dB/m
 Z + jZL tan d 
yd = Z0  0 
37. For distortion less transmission line,  ZL + iZ0 tan d 
L C 50 + j100 tan 
= = = 0.01
R G 50 (100 + j50 tan  )
Propagation constant,
For ysbl = 2  =  , ZL →
 8 4
 =  + j = (R + jwL ) (G + jwC )
then,
 L Z0 + jZL tan  / 4 j
= RG 1 + j  ys = = = 0.021
 R  Zo ( ZL + jZ0 tan  / 4 ) Zo

Attenuation constant, α = RG Thus, Y = Yd – ys = (0.01 – 0.02j) mho


40. S- matrix for 2-port network

62
www.gradeup.co

b1  S11 S12  a1  b2 = s21 a1 + s22a2


 =  
b2  S21 S22  a2 
b1  s11 s12  a1  b1
 =    ;s1 =
B = SA b2  s21 s22  a2  a1 a2 = 0

Where, S = Scattering matrix


By verification answer (b) satisfies.
B = Scattered case matrix
43.
A = Incident case matrix
There is a shunt (R = 50 Ω) is equal to the
charc. impedance; so perfectly power
condition occurs at both parts 44. Moving clockwise in a constant resistance
S11 = S22 = 0 (no reflection) circle gives increases in the value of
an S12 = S21 = 1 (complete power transfer)
reactance.
0 1
S =   45. Inductance is added in series when moving
1 0
in clockwise direction.
41. Given:
 0.20 0.990 S S  46. Here, μ = 1.5, f = 1014 Hz
[S] =  11 12
 = S S

0.990 0.190   21 22  C0
μ=  f = C
For lossless reciprocal networks, C

S11 = S22
C0
 f = ,
and S11
2
+ S22
2
=1 

For reciprocal, S12 = S22, so system is C0 3  108


l = = = 2  10−6 = 2  m
reciprocal. f 1.5  1014

42. 47. For short circuit: r = x = 0 – Point P

For short circuit: r = x =  – Point R

For short circuit: r = 1, x = 0 – Point Q

b1 = s11a1 + s12a2

❖❖❖❖

63
www.gradeup.co

64
www.gradeup.co

65
www.gradeup.co

66
5
www.gradeup.co

Wave Guides

Analysis of Previous GATE Papers

2017 Set 1

2017 Set 2

2016 Set 1

2016 Set 2

2016 Set 3

2015 Set 1

2015 Set 2

2015 Set 3
2020

2019

2018
Year →
Topic
Topic ↓

MCQ Type
1 Mark
Numerical Type 1
Modes MCQ Type 1 1 1
2 Marks
Numerical Type 1 1 1 1
Total 2 2 4 1 4 2
MCQ Type
1 Mark
Numerical Type
Boundary Conditions MCQ Type
2 Marks
Numerical Type
Total
MCQ Type
1 Mark
Numerical Type
Cut-off Frequencies MCQ Type
2 Marks
Numerical Type 1 1
Total 2 2
MCQ Type
1 Mark
Numerical Type
Dispersion Relations MCQ Type
2 Marks
Numerical Type
Total

67
www.gradeup.co

MODES

1. The dominant mode in a rectangular


waveguide is TE10, because this mode has
A. no attenuation
B. no cut-off
C. no magnetic field component
D. the highest cut-off wavelength
[2001: 1 Mark]
2. The phase velocity for the TE10 mode in an
air-filled rectangular waveguide is
A. less than c
B. equal to c
C. greater than c
D. None of the above
[2002: 1 Mark]
3. The phase velocity of an electromagnetic
wave propagating in a hollow metallic
rectangular waveguide in the TE10 mode is
A. equal to its group velocity 5. A rectangular waveguide having TE10 mode

B. less than the velocity of light in free as dominant mode is having a cutoff

space frequency of 18-GHz for the TE30 mode.

C. equal to the velocity of light in free The inner broad-wall dimension of the

space rectangular waveguide is

D. greater than the velocity of light in free A. 5 cm B. 5 cm


3
space
5
[2004: 1 Mark] C. cm D. 10 cm
2
4. Which one of the following does represent [2006: 2 Marks]
the electric field lines for the TE02 mode in 6. An air-filled rectangular waveguide has
the cross-section of a hollow rectangular inner dimensions of 3 cm × 2 cm. The
metallic waveguide? wave impedance of the TE20 mode of
propagation in the waveguide at a
frequency of 30 GHz is (free space
impedance η0 = 377 Ω)
A. 308 Ω B. 355 Ω
C. 400 Ω D. 461 Ω
[2007: 2 Marks]

68
www.gradeup.co

7. The E field in a rectangular waveguide of A. E = +8y, H = –4 B. E = –2y, H = –3z

inner dimensions a × b is given by C. E = +2z, H = +2y D. E = –3y, H = +4z

     2x  [2014: 1 Mark, Set-2]


E=
2  a 0
H sin   sin ( t − z ) ˆ
y
h    a  11. The longitudinal component of the
Where H0 is a constant, and a and b are magnetic field inside an air-filled
the dimensions along the x-axis and the y- rectangular waveguide made of a perfect
axis respectively. The mode of propagation electric conductor is given by the following
in the waveguide is expression
A. TE20 B. TM11 Hz(x, y, z, t) = 0.1 cos(25  x) cos(30.3 
C. TM20 D. TE10 y) cos(12  × 109t – βz)(A/m) ________?
[2007: 2 Marks] A. TM12 B. TM21
8. A rectangular wavelength of internal
C. TE21 D. TE12
dimensions (a = 4 cm and b = 3 cm) is to
[2015: 2 Marks, Set-1]
be operated in TE11 mode. The minimum
12. Light from free space is incident at an
operating frequency is
angle θi to the normal of the facet of a
A. 6.25 GHz B. 6.0 GHz
step-index large core optical fibre. The
C. 5.0 GHz D. 3.75 GHz
core and cladding refractive indices are n 1
[2008: 2 Marks]
= 1.5 and n2 = 1.4, respectively.
9. The modes in a rectangular waveguide are
denoted by TEmn/TMmn where m and n are
the eigen numbers along the larger and
smaller dimensions of the waveguide
respectively. Which one of the following
statements is TRUE?
A. The TM10 mode of the waveguide does
The maximum value of θi (in degrees) for
not exit.
which the incident light will be guided in
B. The TE10 mode of the waveguide does
the core of the fibre is _________.
not exit.
[2016: 1 Mark, Set-2]
C. The TM10 and the TE10 modes both exist
13. Consider an air-filled rectangular
and have the same cut-off frequencies.
waveguide with dimensions a = 2.286 cm
D. The TM10 and the TM01 modes both exist
and b = 1.016 cm. At 10 GHz operating
and have the same cut-off frequencies.
frequency, the value of the propagation
[2011: 1 Mark]
10. Which one of the following field patterns constant (per meter) of the corresponding

represents a TEM wave travelling in the propagating mode is ________.

positive x direction? [2016: 2 Marks, Set-3]

69
www.gradeup.co

14. Consider an air-filled rectangular 17. A rectangular waveguide of width W and


waveguide with dimensions a = 2.286 cm height h has cut-off frequencies for TE10
and b = 1.016 cm. The increasing order of
and TE11 modes in the ratio 1 : 2. The
the cut-off frequencies for different modes
aspect ratio w/h, rounded off to two
is
A. TE01< TE10< TE11< TE20 decimal places, is _______.
B. TE20< TE11< TE10< TE01 [2019: 2 Marks]
C. TE10< TE20< TE01< TE11
D. TE10< TE11< TE20< TE01 BOUNDARY CONDITIONS
[2016: 2 Marks, Set-3]
18. A TEM wave is incident normally upon a
15. A optical fiber is kept along the ẑ
direction. The refractive indices for the perfect conductor. The E and H fields at
electric fields along x̂ and ŷ directions in the boundary will be, respectively,
the fiber are nx = 1.5000 and ny = 1.5001, A. minimum and minimum
respectively ( nx  ny due to the B. maximum and maximum
imperfection in the fiber cross-section). C. minimum and maximum
The free space wavelength of a light wave D. maximum and minimum
propagating in the fiber is 1.5 μm. If the
[2000: 1 Mark]
lightwave is circularly polarized at the input
19. The permittivity of water at optical
of the fiber, the minimum propagation
distance after which it becomes linearly frequencies is 1.75ε0. It is found that an
polarized, in centimeters, is ________. isotropic light source at a distance d under
[2017: 2 Marks, Set-1]
water forms an illuminated circular area of
16. Standard air-filled rectangular waveguides
radius 5 m as shown in the figure. The
of dimensions a = 2.29 cm and b = 1.02
cm are designed for radar applications. It critical angle is θc.

is desired that these waveguides operate


only in the dominant TE10 mode with the
operating frequency at least 25% above
the cutoff frequency of the TE10 mode but
not higher than 95% of the next higher
cutoff frequency. The range of the
allowable operating frequency f is ______.
A. 8.19 GHz ≤ f ≤ 13.1 GHz
B. 8.19 GHz ≤ f ≤ 12.45 GHz
C. 6.55 GHz ≤ f ≤ 13.1 GHz
The value of d (in meter) is _______.
D. 1.64 GHz ≤ f ≤ 10.24 GHz
[2017: 2 Marks, Set-2]
[2017: 2 Marks, Set-2]

70
www.gradeup.co

CUT-OFF FREQUENCIES 24. Consider an air-filled rectangular


waveguide with a cross-section of 5 cm ×
20. A rectangular waveguide has dimensions 1
3 cm. For this waveguide, the cut-off
cm × 0.5 cm. Its cut-off frequency is
frequency (in MHz) of TE21 mode is
A. 5 GHz B. 10 GHz
[2014: 1 Mark, Set-3]
C. 15 GHz D. 12 GHz
25. An air-filled rectangular waveguide of
[2000: 2 Marks]
21. A rectangular metal wave guide filled with interval dimensions a cm × b cm (a > b)

a dielectric material of relative permittivity has a cutoff frequency of 6 GHz for the

r = 4 has the inside dimensions 3.0 cm × dominant TE10 mode. For the same
waveguide, if the cutoff frequency of the
1.2 cm. The cut-off frequency for the
TM11 mode is 15 GHz, the cutoff frequency
dominant mode is
of the TE01 mode in GHz is _________.
A. 2.5 GHz B. 5.0 GHz
C. 10.0 GHz D. 12.5 GHz [2015: 2 Marks, Set-2]

[2003: 2 Marks] 26. The cut-off frequency of TE01 mode of an

22. Which of the following statement is true air-filled rectangular waveguide having

regarding the fundamental mode of the inner dimension a cm × b cm (a > b) is

metallic waveguides shown? twice that of the dominant TE10 mode.


When the waveguide is operated at a
frequency which is 25% higher than the
cut-off frequency of the dominant mode,
the guide wavelength is found to be 4 cm.
The value of b (in cm, correct to two
decimal places) is ________.
[2018: 2 Marks]

A. Only P has no cut-off frequency DISPERSION RELATIONS

B. Only Q has no cut-off frequency


27. The phase velocity of waves propagating in
C. Only R has no cut-off frequency
a hollow metal waveguide is
D. All three have cut-off frequency
A. greater than the velocity of light in free
[2009: 1 Mark]
space.
23. For a rectangular waveguide of internal
B. less than the velocity of light in free
dimensions a × b (a > 0), the cut-off
space.
frequency for the TE11 mode is the
C. equal to the velocity of light in free
arithmetic mean of the cut-off frequencies
space
for TE10 mode and TE20 mode. If a = 5 cm
D. equal to the group velocity
the value of b (in cm) is _______. [2001: 1 Mark]
[2014: 2 Marks, Set-2]

71
www.gradeup.co

28. In a microwave test bench, why s the cos(2.618 × 102y) cos(6.283 × 1010 t – βz)
microwave signal amplitude modulated at
1 kHz?
A. To increase the sensitivity of
measurement
B. To transmit the signal to a far-off place
C. To study amplitude modulation
The phase velocity v of the wave inside the
D. Because crystal detector fails at
waveguide satisfies
microwave frequencies
A. vp< c
[2006: 2 Marks]
B. vp = c
29. The magnetic field along the propagation
C. 0 < vp< c
direction inside a rectangular waveguide
D. vp = c
with the cross-section shown in figure is
[2012: 2 Marks]
Hz = 3cos(2.094 × 102x)

ANSWER

1. D 2. C 3. D 4. D 5. C 6. C 7. A 8. A 9. A 10. B

11. C 12. 32.58 13. 158.07 14. C 15. 0.375 16. B 17. 1.732 18. A 19. 4.33 20. C

21. A 22. A 23. 2 24. 7810 25. 13.7 26. 0.75 27. A 28. D 29. D

SOLUTION

1. The dominant mode in a particular


1 m2 n2
fc = +
waveguide is the mode having lowest cut- 2  a2 b2
off frequency, below this cut-off frequency,
 1  1
EM will be attenuated to a negligible value. fc =  ·
 2   a
Lowest cut-off frequency corresponds to  

highest cut-off wavelength. M = 1; n = 0 for TE10 mode


2. Option C is the correct answer c
fc =
3. The TE10 mode, phase velocity, 2a

vp fc
vg = and Now,  1, then vg  vp (velocity of light)
1/2 f
 f  
2
1 −  c  

  f  

72
www.gradeup.co

73
www.gradeup.co

4. x-component of electric field for TEmn 6. Given, f0 = 30 GHz


nodes is given as c 3  108
 0 = = = 1 cm
j  n   mx   ny  −xz
f0 30  109
Ex =   H0 cos  a  sin  b  e
h2  b      1
2 2
m  n 
=  +  2b 
Where a, b are the dimensions of the 2c  2a   
rectangular waveguide. For TE20 mode, m = 2 and n = 0
 c = a = 3 cm

0 377
= = = 400 
2 2
  1
1− 0  1− 
 c  3

7. For TEmn mode, magnetic field in z-


For TE02 mode, m = 0, n = 2 direction is expended as,
mx ny − jmnz
 2y  Hz H20 cos cos ·e …(i)
Then, Ex  sin   a b
 b 
Then,
At, y = 0, Ex is zero
 H2  m   mx 
b Ey = J −j  H20  sin  
At y = , k 2 x
 axz   a 
4
Ex is maximum positive.  ny  − jmnz
Constant term cos   ·e the for
b
 b 
At y = , Ex is zero again.
2 TE20 mode m = 2, n = 0
b We have
When y  , then direction of Ex gets
2
 2   2x  − jmnz
Ey = − j  H0  sin  ·e
b  akz   a 
reversed and at y = , Ex is negative
4
Comparing with given equation for Ey , it
maximum.
At, y = b, Ex is zero. can be inferred that the mode of
5. Cut-off frequency, fc for TEmn mode is given propagation is TE20 mode.

by, 8. For TE11 mode


2 2
C m
2
n
2 1 m n
fc = +  fc =  a  + b ;
 
2 a 2     
b

For, TE30 mode, m = 3, n = 0, Where, a and b in cm

C = 3 × 108 m/s 1
And c =

2
9 3  108 3
fc = 18  10 =  a 2 2
2   1 1
fc = 1.5  1010   +   = 6.25 GHz
8 4 3
3 3  10 5
 · = 18  109  a = cm
a 2 2

74
www.gradeup.co

9. In case of rectangular waveguide TEmn c 3  1010


fc (TE10 ) = =
exists for all values of m and n except 2a 2  2.286
m = 0 and n = 0. For TMmn to exit both = 6.56 GHz
values of m and n must be non-zero. Propagation constant  is given by  = jB
10. For TEM wave
2
Electric field (E), Magnetic field (H) and  fc 
= j 0 0 1 −  
 f 
Direction of propagation (P) are orthogonal
to each other. 2
1  6.56 
= j2  10  109 + 1− 
Here P = +ax 3  108  10 
By verification
 = j158.07 m–1
E = –2ay,
Therefore, the value of propagation
H = –3az
constant is given by
E × H = –ay × –az = +ax → P

11. Ex = 5 cos (ωt + βz)  = 158.07 m–1

  14. Given: a = 2.286 cm, b = 1.016 cm


Ey = 3 cos  t + z − 
 2
Air filled rectangular waveguide

=− c 1 1
2 fc(TE = + (Since m = 1, n = 1)
11 ) 2 a2 b2
But the wave is propagating along negative
z-direction  
3  1010  1 1 
fc(TE = +
11 ) 2  2.215 2 (1.016)2 
( )
So, left hand elliptical.

12. sin max = sin i = n12 − n22 = 1.52 − 1.42
= 16.15 GHz
(Here θ2 be the incident angle)
c 3  1010
fc(TE = = = 14.76 GHz
01 )
 max = sin i = sin−1(0.5385) = 32.58 2b 2  1.016

 i = 32.58 c 3  1010
fc(TE = = = 13.12 GHz
20 ) a 2.286
Hence, the maximum value of θ2 is 32.58°.
13. Given c 3  1010
fc(TE = = = 6.56 GHz
10 ) 2a 2  2.286
All filled rectangular waveguide
a = 2.286 cm, b = 1.016 cm,  Increasing order of the cut-off

f = 10 GHz frequency is given by

Assume dominant mode (TE10) is TE10< TE20< TE01< TE11

propagating in the waveguide, 15. Initially the wave is circularly polarized.

So, cut-off frequency of TE10 mode is given So, the initial phase difference between
.
by field components in âx direction is
2

75
www.gradeup.co

To become linearly polarized, the wave 2


3  108  1  102 
must travel a minimum distance, such fc =   = 6.55 GHz
2  2.29 
 
that, the phase difference at the point
5
between the field components in âx  f  f  f  819 GHz
4 c
direction and ây direction is  (i.e., the Case 2: f ≤ 95% of fc  f  0.95 fc

travel of this minimum distance should Next higher cut-off frequency (for TE20
 mode), m = 2, n = 2
provide an additional phase difference of
2
c 3  108
fc = = = 13.1 GHz
between âx and ây field components). a 2.29  10−2

 f  0.95  13.1  109


 zmink x zmink y =
2
Range of operating frequency, 8.19 GHz ≤
    
 zmin   = f ≤ 12.44 GHz.
 vpx vpy  2
17. Cut-off frequency of TE10 mode is fC = C

10 2
  
 kx = and k y = 
 vpx vpy  Cut-off frequency of TE11 mode
2
f f   C  
 2zmin  rx zx  = fC = 1− 
c c  2
10 2 h

4zmin fC 1 (C / 2) 1
 n ny  = 1 Given 10
=  =
0  x fC 2 3 2
11  C  2  
 2  1 −  h 
0    
 zmin =
(
4 nx ny ) 1
=
1
 2 2
1.5 1−
zmin = m
4(1.5 1.5001) h2

1.5 1.5 Now squaring both the side,


= m = cm
4(0.0001) 4  
2
 12 +   = 4
 zmin = 0.375 cm h
16. Given: a = 2.29 cm, b = 1.02 cm 
 = 3
Case 1: f ≥ fc + 0.25 fc h

5 
 f  f  Required ratio = = 3 = 1.732
4 c h

2 2 18. For good conductors,


c m n
Cut-off frequency, fc = + 
2  a 
−z
b E=e  ·cos  t −
z
ˆ
a ;=
1
   x
 f
For TE10 mode, m = 1, n = 0
We know that δ is the measure of damping
(exponential) of the wave as it travels

76
www.gradeup.co

through the conductor. Electric field E and 22. P is coaxial line (Two conductors – inner
and outer conductors) and supports TEM
magnetic field H can hardly propagate
wave. Hence, P has no cut-off frequency.
through good conductors. Q and R are single conductor systems
2 0 (cylindrical and rectangular respectively)
−1
19. c = sin = sin−1 = 49.1
1 1.75 0 and have cut-off frequency which depends
on their dimensions.
2
C 1 1
23. te = = K  ;
10 2  a   a

2
te = K 
20
 a

 1  1
te =K  +
11 2
 a  b2
5
 tan c = fc + fc
d Given te = 10 20
11 2
5 5
d= = = 4.33 m
tan 49.1 1.154 1 1 K 1 2 
K + = a + a
2 2 2
20. Given a = 1 cm, b = 0.5 cm, a b  

vp = c = 3 × 108 m/s (for air). 1 1 3


+ =
2 2 2a
Assuming dominant (TE10) mode, a b

m = 1, n = 0 1 1 9
+ =
5 b2 4(5)
2 2
vp m n
Cut-off frequency, fc = 1 9 1 1
2  a  + b   + = −0.2 + 0.45 =
    5 20 b2
b2
2 1 1
3  108 1 +1  =  b = 2 cm
fc =  1  + 0  10 b 2
22
2  
2 2
fc = 15 GHz C 2 1
24. fc (TE21 ) =   + 
2 9 b 
21. Given: a = 3 cm, b = 1.2 cm, r = 4
2 2
1 c c 3  1010 2 1
v= = = fc (TE21 ) = 5 + 3
 r r r 2    

(for non-magnetic material μr = 1) = 1.5  1010 0.16 + 0.111 =0.52×1.5×1010

3 = 7.81 GHz = 7810 MHz


=  108 m/s
2 25. Ist case: Vwx1 = 100 V
Assuming dominant mode (m = 1, n = 1) M2
So, Vyz = V = 1.25  100 = 125 V
1 M1 wx1
2 2
v m n
Cut-off frequency, fc = +   Vyz = Vyz  x = 125 × 0.8 = 100 V
2  a  b 1 1

Vyz 100
2 1
3  108 1 +1
 =
fc =  3  + 0  10 = 2.5 GHz Vwx 100
1
4  

77
www.gradeup.co

IInd case: Vyz = 100 V c


2 vp = , fc< f
2
100 100 f 
Vyt = = = 1.25 V 1− c 
2  0.8 f 

M1 1 vp> vc
Now, Vwx = Vyz2 =  125 = 100 V
2 M2 1.25 28. Microwave signals are amplitude modulate
Vwx 100 because crystal detector fails of microwave
2
 =
Vyz 100 frequencies.
2

2c c 29. Given a = 3, b = 1.2 cm,


26. fc(01) = 2fc(10) = =
2a a Hz = 3 cos (2.094 × 102x)
c c a cos (2.618 × 102y) cos (6.283 × 1010t – βz)
Now =  a = 2b  b=
2b a 2 …(i)
Operating frequency, f = 1.25 fc(10) For TEmn mode Ezs = 0
fc(10)  1.25fc(10)  fc(01) = 2fc(10)   mx   ny 
Hzs = H0 cos 
a ·cos  b  cos ( cot − x )
So, for the given frequency, the waveguide    
will work in TE10 mode. …(ii)
0 On comparing equation (i) and (ii),
So, g =
2
 fc(10)  
1−  = 6.283  1010 rad / s, f = = 10 GHz
 f  2
 
m m
c/f c/f = 2.094  102  = 66.65/m
= = a a
2 0.6
 1 
1−  n n
 1.25  = 2.618  102  = 83.33/m
b b
C
= g = 4 cm 2 2
(1.25)fc(10)(0.6) c m n
Cut-off frequency, fc = + 
2  a  b
C
= 3  10−2 = 2a
fc(10) 3  108
= 66.652 + 83.332 
2
 a = 1.5 cm
fc = 16 GHz
a
 b = = 0.75 cm The wave with frequency, f = 10 GHz (<fc)
2
will not propagate through the guide.
27. For wave propagation through waveguide,
Hence, vp = 0
operating frequency f should be greater
than cut-off frequency, fc for free space,
phase velocity

❖❖❖❖

78
www.gradeup.co

79
6
www.gradeup.co

Basics of Antenna and


Radars

Analysis of Previous GATE Papers

2017 Set 1

2017 Set 2

2016 Set 1

2016 Set 2

2016 Set 3

2015 Set 1

2015 Set 2

2015 Set 3
2020

2019

2018
Year →
Topic
Topic ↓

MCQ Type
1 Mark
Numerical Type 1
Types of Antenna
MCQ Type 1
and Radiation Pattern 2 Marks
Numerical Type 1
Total 1 2 2
MCQ Type 1 1
1 Mark
Numerical Type
Gain and Directivity MCQ Type 1 1
2 Marks
Numerical Type 1
Total 1 4 2 1
MCQ Type
1 Mark
Numerical Type
Return Loss and
MCQ Type 1
Antenna Arrays 2 Marks
Numerical Type
Total 2

80
www.gradeup.co

TYPES OF ANTENNA & RADIATION 5. The line-of-sight communication requires


PATTERN the transmit and receive antennas to face
each other. If the transmit antenna is
1. The frequency range for satellite
vertically polarized, for best reception the
communication is
receiver antenna should be
A. 1 kHz to 100 kHz
A. horizontally polarized
B. 100 kHz to 10 kHz
B. vertically polarized
C. 10 MHz to 30 MHz
C. at 45° with respect to horizontal
D. 1 GHz to 30 GHz
polarization
[2000: 1 Mark]
D. at 45° with respect to vertical
 polarization
2. If the diameter of a dipole antenna is
2
[2001: 1 Mark]
 
increased from to , then its 6. Two identical and parallel dipole antennas
100 50

A. bandwidth increases are kept apart by a distance of in the H-
4
B. bandwidth decreases plane. They are fed with equal currents but
C. gain increases the right most antenna has a phase shift of
D. gain decreases +90°. The radiation pattern is given as
[2001: 1 Mark]
3. For an 8 feet (2.4 m) parabolic dish
antenna operating at 4 GHz, the minimum
distance required for far field measurement
is closest to
A. 7.5 cm B. 15 cm
C. 15 m D. 150 m
[2000: 2 Marks]
4. In a uniform linear array, four isotropic

radiating elements are spaced apart.
4
The progressive phase shift between the
elements required for forming the main
beam at 60° off the end-fire is
−
A. –  radians B. radians
2
− −
C. radians D. radians
4 8
[2001: 2 Marks] [2006: 2 Marks]

81
www.gradeup.co

7. A mast antenna consisting of a 50 meter


long vertical conductor operates over a
perfectly conducting ground plane. It is
base-fed at a frequency of 600 kHz. The
radiation resistance of the antenna is
Ohms is
[2007: 2 Marks]
2 2
2  9. For a Hertz dipole antenna, the Half Power
A. B.
5 5 Beam Width (HPBW) in the E-plane is
2
4 A. 360° B. 180°
C. D. 20∏2
5 C. 90° D. 45°
[2006: 2 Marks] [2008: 1 Mark]
 10. Match Column A with Column B.
8. A dipole is kept horizontally at a height
2 Column-A Column-B
0 1. Point electromagnetic source P.
of above a perfectly conducting infinite
2 Highly directional
ground plane. The radiation pattern in the 2. Dish antenna Q. End fire
plane of the dipole ( E plane) looks 3. Yagi-Uda antenna R. Isotropic

approximately as P Q R P Q R
A. 1 2 3 B. 2 3 1
C. 2 1 3 D. 3 2 1
[2014: 1 Mark, Set-4]
11. Radiation resistance of a small dipole
current element of length l at a frequency
of 3 GHz is 3 ohms. If the length is
changed by 1%, then the percentage
change in the radiation resistance, rounded
off two decimal places, is _________ %.
[2019: 1 Mark]

GAIN & DIRECTIVITY

12. A person with a receiver is 5 km away from


the transmitter. What is the distance that
this person must move further to detect a
3-dB decrease in signal strength?
A. 942 m B. 2070 m
C. 4978 m D. 5320 m
[2002: 2 Marks]

82
www.gradeup.co

13. Consider a lossless antenna with a hemispherical shell located at r = 1 km,


directive gain of +6 dB. If 1 mW of power 
0 is ________.
is fed to it the total power radiated by the 2

antenna will be [2014: 2 Marks, Set-1]

A. 4 mW B. 1 mW 18. For an antenna radiating in free space, the

C. 7 mW D. ¼ mW electric field at a distance of 1 km is found

[2004: 1 Mark] to be 12 mV/m. Given that intrinsic

14. A transmission line is feeding 1 Watt of impedance of the free space is 120  Ω,

power to a horn antenna having a gain of the magnitude of average power density
10 dB. The antenna is matched to the due to this antenna at a distance of 2 km
transmission line. The total power radiation from the antenna (in nW/m2) is ______.
A. 10 Watts B. 1 Watt [2014: 1 Mark, Set-4]
C. 0.1 Watt D. 0.01 Watt 19. The directivity of an antenna array can be
[2006: 1 Mark] increased by adding more antenna
15. At 20 GHz, the gain of a parabolic dish elements, as a larger number of elements
antenna of 1 meter diameter and 70% A. improves the radiation efficiency
efficiency is B. increases the effective area of the
A. 15 dB B. 25 dB antenna
C. 35 dB D. 45 dB C. results in a better impedance matching
[2008: 2 Marks] D. allows more power to be transmitted by
16. The radiation pattern of an antenna in the antenna
spherical co-ordinates is given by [2015: 1 Mark, Set-3]

 20. Two lossless X-band horn antennas are


F (  ) = cos4 , 0   
2 separated by a distance of 200 λ. The
The directivity of the antenna is amplitude reflection coefficients at the
A. 10 dB B. 12.6 dB terminals of the transmitting and receiving
C. 11.5 dB D. 18 dB antennas are 0.15 and 0.18, respectively.
[2012: 1 Mark] The maximum directivities of the
17. In spherical coordinates, let ˆ
a , ˆ
a denote transmitting and receiving antennas (over

unit vectors along the θ, φ directions. the isotropic antenna) are 18 dB and 22
dB, respectively. Assuming that the input
100
E= sin  cos ( t − r ) ˆ
a V / m and
r power in the lossless transmission line

0.265 connected to the antenna is 2 W, and that


H= sin  cos ( t − r ) ˆ
a V / m
r the antennas are perfectly aligned and
Represent the electric and magnetic field polarization matched, the power (in mW)
components of the EM wave at large delivered to the load at the receiver is
distances r from a dipole antenna, in free ________.
space. The average power (W) crossing the [2016: 2 Marks, Set-1]

83
www.gradeup.co

21. The far-zone power density radiated by a RETURN LOSS & ANTENNA ARRAYS
helical antenna is approximated as:
24. The electric field of a uniform plane wave
1
Wrad = Waverage = ˆ
ar C0 cos4  travelling along the negative z direction is
r2
given by the following equation:
The radiated power density is symmetrical
with respect to φ and exists only in the (
E1W = ˆ )
ˆy E0e jrz
ax + ja

 This wave is incident upon a receiving


upper hemisphere 0    ; 0  2; C0 is
2
antenna placed at the origin and whose
a constant. The power radiated by the
radiated electric field towards the incident
antenna (in watts) and the maximum
wave is given by the following equation:
directivity of antenna, respectively, are
1 − jkr
A. 1.5C0, 10 dB B. 1.256C0, 10 dB (
E1W = ˆ
ax + 2a )
ˆy Et
4
e

C. 1.256C0, 12 dB D. 1.5C0, 12 dB The polarization of the incident wave, the


[2016: 2 Marks, Set-1]
polarization of the antenna and losses due
22. A radar operating at 5 GHz uses a common
to the polarization mismatch are,
antenna for transmission and reception.
respectively,
The antenna has a gain of 150 and is
A. linear, circular (clockwise), –5 dB
aligned for maximum directional radiation
B. circular (clockwise), linear, –5 dB
and reception to a target 1 km away
C. circular (clockwise), linear, –3 dB
having radar cross-section of 3 m2. If it
D. circular (anticlockwise), linear, –3 dB
transmits 100 kW, then the received power
[2016: 2 Marks, Set-1]
(in μW) is
25. A transverse electromagnetic wave with
[2016: 2 Marks, Set-3]
circular polarization is received by a dipole
23. Consider a wireless communication link
antenna. Due to polarization mismatch, the
between a transmitter and a receiver
power transfer efficiency from the wave to
located in free space, with finite and
the antenna is reduced is about
strictly positive capacity. If the effective
areas of the transmitter and the receiver A. 50% B. 35.3%

antennas, and the distance between them C. 25% D. 0%

are all doubled, and everything else [2001: 2 Marks]

remains unchanged, the maximum 26. A medium wave radio transmitter

capacity of the wireless link. operating at a wavelength of 492 m has a

A. increased by a factor of 2 tower antenna of height 124 m. What is

B. decreased by a factor of 2 the radiation resistance of the antenna?


C. remains unchanged A. 25 Ω B. 36.5 Ω

D. decreases by a factor 2 C. 50 Ω D. 73 Ω

[2017: 1 Mark, Set-1] [2001: 2 Marks]

84
www.gradeup.co

27. Two identical antennas are placed in the


= plane as shown in the figure. The
2

elements have equal amplitude excitation

with 180° polarity difference, operating at

wavelength λ. The correct value of the

magnitude of the far-zone resultant


 2s   2s 
electric field strength normalized with that A. 2 cos   B. 2 sin  
     
of a single element, both computed for
 s   s 
φ = 0, is C. 2 cos   D. 2sin  
     
[2002: 2 Marks]

ANSWER

1. D 2. C 3. B 4. C 5. B 6. A 7. A 8. C 9. C 10. C

11. 2 12. B 13. B 14. A 15. D 16. A 17. 55.5 18. 47.7 19. B 20. 2.99

21. B 22. 0.0122 23. C 24. C,D 25. A 26. B 27. D

SOLUTION

1. The frequency range for satellite 2d2


3. R ; d = 2.4 m
communication is 1 GHz to 30 GHz. 

2. Radiation efficiency, 3  108


= = 7.5 cm
4  109
Rrad G
= =
Rrad + RL D 2  (2.4)2
R = = 153 m
7.5  10−2
where, Rrad = radiation resistance
.
4. Given q = 60°, d =
1 4
RL = Loss resistance = ·R
d s
An end fire array has its maximum
where, l = length, d = diameter, radiation directed along the axis of array.
Rs = surface resistance. In the direction of main beam, Ψ = 0.

Therefore, ASd  RL  and   , G  . −2  −


 = − d cos  = · ·cos 60 = rad.
 4 4

85
www.gradeup.co

5. If the transmit antenna is vertically


polarized, then for best reception the
receiver antenna should be vertically
polarized.


6. Given: d = ,  = 90
4
The overall radiation pattern can be
obtained by simply multiplying the element
factor and array factor.
For hertzian dipole placed along z-axis,

electric field component is E  sin  .

  3 5 3 7
 = 0  2
4 2 4 4 2 4
AF = 0 0.707 1 0.707 0 0.707 1 0.707 0

7. Given: length of vertical radiator, dl = 50


1  m, f = 600 kHz.
Array factor, AF = cos  ( d·cos  +  ) 
 2 
c 3  108
= = = 500 m
 1  2    f 600  103
= cos     cos  + 90
2    4  Radiation resistance of base fed Hertz

AF = cos (45° cosθ + 45°) dipole over a perfectly conducting ground


is
  3 5 3 7
 = 0  2
4 2 4 4 2 4 2 2
 dl   50  22
AF = 0 0.22 0.7 0.97 1 0.97 0.7 0.22 0 Rrad = 402   = 402    = 
  500  5

8.

A λ/2 dipole kept λ/2 at a distance λ0/2


above the grand equivalent to two λ/2
dipole at a distance of λ0 between them
with current flowing in them with a phase
difference of ∏.

86
www.gradeup.co

d cos  +  *Yogi-Uda antenna is high bandwidth


AF = cos
2 antenna used for T.V. reception  End-
2 fire.
Here α =  and d = λ0,  =
0 11. Given, Rrad = 3 Ω, and f = 3 GHz
2 cos  +  dl
AF = cos = sin( cos ) % change in length  100%
2 l

 dRrad
At  = , array factor is zero and field  100% = ?
2 Rrad

strength is 0 802
Rrad = l2 …(i)
At φ = 0, field strength is also zero. 2

Hence, radiation field pattern will be as Change in resistance with respect to
shown below. change in length is

dRrad  802 
= 2l   ...(ii)
dl  2 
 
Dividing equation (i) and (ii), we get
dRrad
2l 2
9. For Hertizian dipole, radiation field = dl = =
Rrad l2 l
E0  sin .
dRrad dl
 = 2
  3 Rrad l
 = 0 
4 2 4
dRrad  dl 
| AF |= 0 0.707 1 0.70 0   100% = 2    100% 
Rrad  l 

 dl 
 l  100% = 1% 
 

 Percent change in radiation resistance


= 2%.
P
12. Signal strength= . For 3 dB decrease,
4R2
The half power bandwidth = 135° – 45°
= 90°. P2 1
= .
P1 2
10. Point electromagnetic source radiates in all
direction  Isotropic.
*Dish antenna radiates any
electromagnetic energy is any particular
direction with narrow beam width and high
directivity  highly direction.

87
www.gradeup.co

P P 
P1 = (5000)2 , P2 = 2 2
4 4r22 cos2  ·sin  d d
=  
= 0 = 0
 r2 = 5000 2 m
 Let cos = t  − sin d = dt
Required distance = 5000 2 – = 2071 m
0 2
13. Given: Directive gain of lossless antenna Prad =   t8·dt d
1 = 0
(W1 = 0) = 6 dB = 4.
1
Input power to antenna = 1 mW  t9  2 1
  · 0 = ·2
9
 0 9
Pm = PrP1 = Pr + O
Pr = Pm Prad = 0.697
Power radiated by antenna = 1 mW. Directivity,

14. Input power, Pin = 1 W | U ( ,  ) | max 4  1


4 · = = 18.02
Gain, G = 10 dB = 101 = 10 Prad 0.697

Radiated power, Prad = Pin × G = 1 × 10W D (in dB) = 10 log10 (18.02) = 12.55
15. Given: d = 1 m, η = 0.7, f = 20 GHz 100
17. Given: E = sin  ·cos ( r − r ) ˆ
a V / m
r
c 3  108
= = = 1.5 cm = 15 × 10–3 m 0.265
f 20  109 H= sin  ·cos ( cot −  r ) ˆ
a A / m
r
Gain of parabolic dish antenna,
Average power,
2 2
 d  1  1 1
GD = 2   = 2 

  −3 
 15  10 
= 43684.9 Pav = (
2 s 
)
E  H ·ds = ·ds
2
 GP =  ·GD = 0.7 ×43684.9 = 30705.44
1 100  0.265
sin3 dd
2 s
=
(GP)in dB = 10 log GP = 10 log GP = 10 r2
log(3070.44) = 44.87  
2 2
 sin3 d
16. Given: F(θ) = cos4 ; 0   
2
. = 13.25   d
= 0 = 0

Assuming the given quantity is field 


2
strength. (n − 1)(n − 2)(n − 5)...
  sinn 
= 0
n(n − 2)(n − 4)
Radiation intensity,
2 (3 − 1)
U ( ,  )  F (  )  Pav = 13.25   2 = 55.5 W
3
U ( ,  ) = cos 2  18. Given E1 = 12 mV/m, r1 = 1 km, r2 = 2 km
Electric field of an antenna
U ( ,  )max = 1
I0dl  j 1 j 
Radiated power, E0 = sin  + − 
2
4  r r r3 
Prad =   U ( , ) sin dd 1
 At far field, E 
r

88
www.gradeup.co

E2 r2 P in = 1 − 2T Pin = 1 − (0.15)2  2 = 1.955 W


=
E1 r1
2
  
Er 12  10−13  1  103 PL = 63.09  158.48    1.955
 E2 = 1 2 = = 6 mV/m  4  200 
r1 2  103
= 3.1 × 10–3
1E22 1 (6  10 ) −3 2
As there is a reflection at the terminals of
Pav = =  = 47.7 nW/m2
2  2 120
receiving antenna power delivered to the
4 load in given by
19. Directivity, D = · Ae
   
2

PL = 1 − rR2  PL = 1 − (0.18)2  3.1  10−3
Directly is increased by adding more
antenna eternents because this increases  PL = 2.99 mW

the effective area of antenna which result Hence, the power delivered to the load at
into in directivity. the receiver is 2.99 mW.
1
20. For lossless horn antennas 21. Given: Wrad = Waverage = co cos4  ·a
ˆr
2
r
η T = ηR = 1
Power radiated by antenna,
Power Gain = Directivity
Directivity of Transmitting antenna, Prad =  Wrad ·ds
s
DT = 18 dB

10 logDT = 18 2 2
1
Prad =   co· cos4  ·r2 sin dd
GT (or) DT = 63.09 r2
= 0 = 0
Directivity of Receiving antenna,
4
DR = 22 dB = 2co  cos4 d ·sin 
10 log DR = 22 = 0

GR (or) DR = 158.48  d(− cos ) = sin d

Input power, Pin = 2 W 


 cos5   2
Spacing, r = 200 λ Prad = 2co  − 
 5 
 0

2
co = 1.256 co
5
4V
Directivity, D =
 Wrad d
4co4
=

2 2

  cos4  sin dd


Friis transmission formula in given by = 0 = 0
2
   2 cos4 
PL = GT GR   P in = = 10 cos4 
 4r  1
where, 5

P  in : Input power (prime indicates power Dmax = 10


Dmax in dB = 10 log10 10 = 10 dB
due to reflection)

89
www.gradeup.co

22. Given: [in time varying form]


Frequency, f = 5 GHz = 5 × 109 Hz At ωt = 0

c 3  108 Eiw = E0ˆ


ax
Wave length, λ = = = 0.06 m
f 5  109

At t =
Gain of antenna, G = 150 2
Range of target, Rmax = 1 km = 103 m,
Eiw = E0 −ay ( )
radar cross-section, σ = 3 m2, transmitted
As a tip of electric field intensity is tracing
power, Pt = 100 kW
a circle when time varies, hence the wave
Radar range equation is given by
is said to be circularly polarized in
 2 
 Pt  G   G   
2  clockwise direction (or) RHCP. Polarizing
PR =  4   Since Ae = G
 (4)2  PR   4  vector of incident wave is given by,

The received power, PR is given by ˆ ˆy


ax + ja
P̂i =
2
100  103  150  150  (0.06)2  3
PR =
(4)3  (103 )4 Radiated electric field from the antenna is
1 − jky
= 1.22 × 10–8 = 0.0122 μW Ea = ˆ ( ˆy EI
ax + 2a ) 
e
Hence, the received power is 0.0122 μW.
At r = 0
23. From friis free space propagation equation,
ˆx + 2EI cos ta
Ea = EI cos ta ˆy
Wt Aer Aet
Wr =
(d)2 [in time varying form]

If Ae and Ae are doubled with doubled d, As both x and y components are in-phase
r t
hence the wave is said to be linear
Wr is same. Hence, capacity is also same.
polarized. Polarizing vector of radiated field
24. Given: Electric field of incident wave is
given by is P̂a =
(ˆax + 2aˆy ) polarizing mismatch;
5
 = ˆ
EW (
ˆy E0e jkz
ax + ja )
The polarizing mismatch is said to have, if
the polarization of receiving antenna is not
same on the polarization of the incident
wave. The polarization loss factor (PLF)
characterizes the loss of EM power due to
polarization mismatch.
2
ˆ ·P
PLF = P ˆ
i a

In dB; PLF (dB) = 10 log (PLF)


At z = 0 ˆ ˆy
ax + ja  ˆ ˆy
ax + 2a 
PLF =  · 
 = E0 cos ta
EW ˆx − E0 sin ta
ˆy  2   5 
   

90
www.gradeup.co

2 
1 + j2 1 27. Normalized array factor = 2 cos
= (or) 0.5 2
2 5 2
where Ψ = βd sinθ cosφ + δ
PLF (dB) = 10 log 0.5= 3.0102
= 3 dB (approx.) Here θ = 90°, d = 2s,  = 45,r = 180

So, the loss due to the polarization is –3


dB.
25. TEM wave with circular polarization

(ˆax + jaˆy ) is received by a dipole, which is

linearly polarized ( âx ) . Due to polarization

mismatch, there will be polarization loss


  d sin  cos  +  
factor Then, 2 cos = 2 cos  
2  2 
2
2 ˆ ˆy
ax + ja 1.
= Pw·Pla = ˆ
ax =  2  180  
2 2 = 2 cos  2s cos 45 +  
  ·2  2 
Hence, power efficiency is 50%.
 s   s 
= 2 cos  + 90  = 2 sin  
      
26. Given: λ = 492 m, ht = 124 m  .
4
Tower antenna is like quarter wave
monopole, so Rrad = 36.5 Ω.

❖❖❖❖

91
www.gradeup.co

92

You might also like